]> Joshua Wise's Git repositories - firearm.git/blame - system.v
Merge nyus:/storage/git/firearm
[firearm.git] / system.v
CommitLineData
ee406839 1`define BUS_ICACHE 0
03f45381 2`define BUS_DCACHE 1
ee406839 3
f61f8d6f 4module System(input clk);
ee406839
JW
5 wire [7:0] bus_req;
6 wire [7:0] bus_ack;
7 wire [31:0] bus_addr;
a0bb35e7
JW
8 wire [31:0] bus_rdata;
9 wire [31:0] bus_wdata;
ee406839
JW
10 wire bus_rd, bus_wr;
11 wire bus_ready;
45fa96c0 12
03f45381
JW
13 wire bus_req_icache;
14 wire bus_req_dcache;
15 assign bus_req = {6'b0, bus_req_dcache, bus_req_icache};
ee406839 16 wire bus_ack_icache = bus_ack[`BUS_ICACHE];
03f45381 17 wire bus_ack_dcache = bus_ack[`BUS_DCACHE];
45fa96c0 18
ee406839
JW
19 wire [31:0] bus_addr_icache;
20 wire [31:0] bus_wdata_icache;
21 wire bus_rd_icache;
22 wire bus_wr_icache;
23
03f45381
JW
24 wire [31:0] bus_addr_dcache;
25 wire [31:0] bus_wdata_dcache;
26 wire bus_rd_dcache;
27 wire bus_wr_dcache;
28
a0bb35e7
JW
29 wire [31:0] bus_rdata_blockram;
30 wire bus_ready_blockram;
31
03f45381 32 assign bus_addr = bus_addr_icache | bus_addr_dcache;
a0bb35e7 33 assign bus_rdata = bus_rdata_blockram;
03f45381
JW
34 assign bus_wdata = bus_wdata_icache | bus_wdata_dcache;
35 assign bus_rd = bus_rd_icache | bus_rd_dcache;
36 assign bus_wr = bus_wr_icache | bus_wr_dcache;
a0bb35e7 37 assign bus_ready = bus_ready_blockram;
149bcd1a 38
5d9760a4
JW
39 wire [31:0] icache_rd_addr;
40 wire icache_rd_req;
41 wire icache_rd_wait;
42 wire [31:0] icache_rd_data;
09e28f01 43
03f45381
JW
44 wire [31:0] dcache_addr;
45 wire dcache_rd_req, dcache_wr_req;
46 wire dcache_rw_wait;
47 wire [31:0] dcache_wr_data, dcache_rd_data;
48
09e28f01 49 wire stall_cause_issue;
bc572c5f 50 wire stall_cause_execute;
09e28f01 51
cb0428b6 52 wire [31:0] decode_out_op0, decode_out_op1, decode_out_op2, decode_out_spsr;
42c1e610 53 wire decode_out_carry;
5ca27949 54 wire [3:0] regfile_read_0, regfile_read_1, regfile_read_2;
cb0428b6 55 wire [31:0] regfile_rdata_0, regfile_rdata_1, regfile_rdata_2, regfile_spsr;
bc572c5f
JW
56 wire execute_out_write_reg;
57 wire [3:0] execute_out_write_num;
58 wire [31:0] execute_out_write_data;
149bcd1a
CL
59 wire [31:0] jmppc;
60 wire jmp;
5ca27949 61
09e28f01
JW
62 wire bubble_out_fetch;
63 wire bubble_out_issue;
2393422a 64 wire bubble_out_execute;
09e28f01
JW
65 wire [31:0] insn_out_fetch;
66 wire [31:0] insn_out_issue;
2393422a 67 wire [31:0] insn_out_execute;
09e28f01
JW
68 wire [31:0] pc_out_fetch;
69 wire [31:0] pc_out_issue;
2393422a 70 wire [31:0] pc_out_execute;
149bcd1a 71
7947b9c7 72 wire execute_out_backflush;
c2b9d4b7 73
ee406839 74 BusArbiter busarbiter(.bus_req(bus_req), .bus_ack(bus_ack));
a0bb35e7
JW
75
76 ICache icache(
77 .clk(clk),
5d9760a4
JW
78 /* XXX reset? */
79 .rd_addr(icache_rd_addr), .rd_req(icache_rd_req),
80 .rd_wait(icache_rd_wait), .rd_data(icache_rd_data),
ee406839 81 .bus_req(bus_req_icache), .bus_ack(bus_ack_icache),
a0bb35e7 82 .bus_addr(bus_addr_icache), .bus_rdata(bus_rdata),
ee406839
JW
83 .bus_wdata(bus_wdata_icache), .bus_rd(bus_rd_icache),
84 .bus_wr(bus_wr_icache), .bus_ready(bus_ready));
45fa96c0 85
03f45381
JW
86 DCache dcache(
87 .clk(clk),
88 .addr(dcache_addr), .rd_req(dcache_rd_req), .wr_req(dcache_wr_req),
89 .rw_wait(dcache_rw_wait), .wr_data(dcache_wr_data), .rd_data(dcache_rd_data),
90 .bus_req(bus_req_dcache), .bus_ack(bus_ack_dcache),
91 .bus_addr(bus_addr_dcache), .bus_rdata(bus_rdata),
92 .bus_wdata(bus_wdata_dcache), .bus_rd(bus_rd_dcache),
93 .bus_wr(bus_wr_dcache), .bus_ready(bus_ready));
94
a0bb35e7
JW
95 BlockRAM blockram(
96 .clk(clk),
97 .bus_addr(bus_addr), .bus_rdata(bus_rdata_blockram),
98 .bus_wdata(bus_wdata), .bus_rd(bus_rd), .bus_wr(bus_wr),
99 .bus_ready(bus_ready_blockram));
100
5d9760a4
JW
101 Fetch fetch(
102 .clk(clk),
f61f8d6f 103 .Nrst(1'b1 /* XXX */),
5d9760a4
JW
104 .rd_addr(icache_rd_addr), .rd_req(icache_rd_req),
105 .rd_wait(icache_rd_wait), .rd_data(icache_rd_data),
149bcd1a 106 .stall(stall_cause_issue), .jmp(jmp), .jmppc(jmppc),
09e28f01
JW
107 .bubble(bubble_out_fetch), .insn(insn_out_fetch),
108 .pc(pc_out_fetch));
109
110 Issue issue(
111 .clk(clk),
f61f8d6f 112 .Nrst(1'b1 /* XXX */),
7947b9c7 113 .stall(stall_cause_execute), .flush(execute_out_backflush),
09e28f01 114 .inbubble(bubble_out_fetch), .insn(insn_out_fetch),
f61f8d6f 115 .inpc(pc_out_fetch), .cpsr(32'b0 /* XXX */),
09e28f01
JW
116 .outstall(stall_cause_issue), .outbubble(bubble_out_issue),
117 .outpc(pc_out_issue), .outinsn(insn_out_issue));
90ff449a 118
5ca27949
JW
119 RegFile regfile(
120 .clk(clk),
121 .read_0(regfile_read_0), .read_1(regfile_read_1), .read_2(regfile_read_2),
122 .rdata_0(regfile_rdata_0), .rdata_1(regfile_rdata_1), .rdata_2(regfile_rdata_2),
cb0428b6 123 .spsr(regfile_spsr), .write(4'b0), .write_req(1'b0), .write_data(10 /* XXX */));
5ca27949
JW
124
125 Decode decode(
126 .clk(clk),
cb0428b6 127 .insn(insn_out_fetch), .inpc(pc_out_fetch), .incpsr(32'b0 /* XXX */), .inspsr(regfile_spsr),
5ca27949 128 .op0(decode_out_op0), .op1(decode_out_op1), .op2(decode_out_op2),
cb0428b6 129 .carry(decode_out_carry), .outspsr(decode_out_spsr),
5ca27949
JW
130 .read_0(regfile_read_0), .read_1(regfile_read_1), .read_2(regfile_read_2),
131 .rdata_0(regfile_rdata_0), .rdata_1(regfile_rdata_1), .rdata_2(regfile_rdata_2));
132
bc572c5f 133 Execute execute(
f61f8d6f 134 .clk(clk), .Nrst(1'b0),
7947b9c7 135 .stall(1'b0 /* XXX */), .flush(1'b0),
bc572c5f 136 .inbubble(bubble_out_issue), .pc(pc_out_issue), .insn(insn_out_issue),
cb0428b6 137 .cpsr(32'b0 /* XXX */), .spsr(decode_out_spsr), .op0(decode_out_op0), .op1(decode_out_op1),
bc572c5f 138 .op2(decode_out_op2), .carry(decode_out_carry),
2393422a 139 .outstall(stall_cause_execute), .outbubble(bubble_out_execute),
bc572c5f 140 .write_reg(execute_out_write_reg), .write_num(execute_out_write_num),
149bcd1a 141 .write_data(execute_out_write_data),
2393422a 142 .jmp(jmp), .jmppc(jmppc),
f5c8bf8a 143 .outpc(pc_out_execute), .outinsn(insn_out_execute));
7947b9c7 144 assign execute_out_backflush = jmp;
149bcd1a 145
ff39dfc7 146 reg [31:0] clockno = 0;
90ff449a
JW
147 always @(posedge clk)
148 begin
ff39dfc7
JW
149 clockno <= clockno + 1;
150 $display("------------------------------------------------------------------------------");
5ca27949
JW
151 $display("%3d: FETCH: Bubble: %d, Instruction: %08x, PC: %08x", clockno, bubble_out_fetch, insn_out_fetch, pc_out_fetch);
152 $display("%3d: ISSUE: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x", clockno, stall_cause_issue, bubble_out_issue, insn_out_issue, pc_out_issue);
42c1e610 153 $display("%3d: DECODE: op1 %08x, op2 %08x, op3 %08x, carry %d", clockno, decode_out_op0, decode_out_op1, decode_out_op2, decode_out_carry);
2393422a 154 $display("%3d: EXEC: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x, Reg: %d, [%08x -> %d], Jmp: %d [%08x]", clockno, stall_cause_execute, bubble_out_execute, insn_out_execute, pc_out_execute, execute_out_write_reg, execute_out_write_data, execute_out_write_num, jmp, jmppc);
90ff449a 155 end
ee406839 156endmodule
This page took 0.046025 seconds and 4 git commands to generate.