]> Joshua Wise's Git repositories - fpgaboy.git/blame - GBZ80Core.v
PUSH bugfix
[fpgaboy.git] / GBZ80Core.v
CommitLineData
df770340
JW
1`define REG_A 0
2`define REG_B 1
3`define REG_C 2
4`define REG_D 3
5`define REG_E 4
6`define REG_F 5
7`define REG_H 6
8`define REG_L 7
9`define REG_SPH 8
10`define REG_SPL 9
11`define REG_PCH 10
12`define REG_PCL 11
2f55f809 13
5509558d
JW
14`define _A registers[`REG_A]
15`define _B registers[`REG_B]
16`define _C registers[`REG_C]
17`define _D registers[`REG_D]
18`define _E registers[`REG_E]
19`define _F registers[`REG_F]
20`define _H registers[`REG_H]
21`define _L registers[`REG_L]
22`define _SPH registers[`REG_SPH]
23`define _SPL registers[`REG_SPL]
24`define _PCH registers[`REG_PCH]
25`define _PCL registers[`REG_PCL]
26`define _AF {`_A, `_F}
27`define _BC {`_B, `_C}
28`define _DE {`_D, `_E}
29`define _HL {`_H, `_L}
30`define _SP {`_SPH, `_SPL}
31`define _PC {`_PCH, `_PCL}
32
df770340
JW
33`define FLAG_Z 8'b10000000
34`define FLAG_N 8'b01000000
35`define FLAG_H 8'b00100000
36`define FLAG_C 8'b00010000
2f55f809 37
df770340
JW
38`define STATE_FETCH 2'h0
39`define STATE_DECODE 2'h1
2f55f809
JW
40`define STATE_EXECUTE 2'h2
41`define STATE_WRITEBACK 2'h3
42
43`define INSN_LD_reg_imm8 8'b00xxx110
df770340 44`define INSN_HALT 8'b01110110
b85870e0
JW
45`define INSN_LD_HL_reg 8'b01110xxx
46`define INSN_LD_reg_HL 8'b01xxx110
47`define INSN_LD_reg_reg 8'b01xxxxxx
634ce02c
JW
48`define INSN_LD_reg_imm16 8'b00xx0001
49`define INSN_LD_SP_HL 8'b11111001
97649fed 50`define INSN_PUSH_reg 8'b11xx0101
df770340
JW
51`define INSN_POP_reg 8'b11xx0001
52`define INSN_LDH_AC 8'b111x0010 // Either LDH A,(C) or LDH (C),A
53`define INSN_LDx_AHL 8'b001xx010 // LDD/LDI A,(HL) / (HL),A
54`define INSN_ALU8 8'b10xxxxxx // 10 xxx yyy
55`define INSN_NOP 8'b00000000
56`define INSN_RST 8'b11xxx111
57`define INSN_RET 8'b110x1001 // 1 = RETI, 0 = RET
58`define INSN_RETCC 8'b110xx000
59`define INSN_CALL 8'b11001101
60`define INSN_CALLCC 8'b110xx100 // Not that call/cc.
61`define INSN_JP_imm 8'b11000011
a85b19a7 62`define INSN_JPCC_imm 8'b110xx010
a00483d0 63`define INSN_ALU_A 8'b00xxx111
df770340
JW
64`define INSN_JP_HL 8'b11101001
65`define INSN_JR_imm 8'b00011000
722e486a 66`define INSN_JRCC_imm 8'b001xx000
dadf7990 67`define INSN_INCDEC16 8'b00xxx011
f8db6448 68`define INSN_VOP_INTR 8'b11111100 // 0xFC is grabbed by the fetch if there is an interrupt pending.
df770340
JW
69`define INSN_DI 8'b11110011
70`define INSN_EI 8'b11111011
a85b19a7 71
df770340
JW
72`define INSN_cc_NZ 2'b00
73`define INSN_cc_Z 2'b01
74`define INSN_cc_NC 2'b10
75`define INSN_cc_C 2'b11
fa136d63 76
b85870e0
JW
77`define INSN_reg_A 3'b111
78`define INSN_reg_B 3'b000
79`define INSN_reg_C 3'b001
80`define INSN_reg_D 3'b010
81`define INSN_reg_E 3'b011
82`define INSN_reg_H 3'b100
83`define INSN_reg_L 3'b101
df770340
JW
84`define INSN_reg_dHL 3'b110
85`define INSN_reg16_BC 2'b00
86`define INSN_reg16_DE 2'b01
87`define INSN_reg16_HL 2'b10
88`define INSN_reg16_SP 2'b11
89`define INSN_stack_AF 2'b11
90`define INSN_stack_BC 2'b00
91`define INSN_stack_DE 2'b01
92`define INSN_stack_HL 2'b10
94522011
JW
93`define INSN_alu_ADD 3'b000
94`define INSN_alu_ADC 3'b001
95`define INSN_alu_SUB 3'b010
96`define INSN_alu_SBC 3'b011
97`define INSN_alu_AND 3'b100
98`define INSN_alu_XOR 3'b101
99`define INSN_alu_OR 3'b110
100`define INSN_alu_CP 3'b111 // Oh lawd, is dat some CP?
a00483d0
JW
101`define INSN_alu_RLCA 3'b000
102`define INSN_alu_RRCA 3'b001
103`define INSN_alu_RLA 3'b010
104`define INSN_alu_RRA 3'b011
105`define INSN_alu_DAA 3'b100
106`define INSN_alu_CPL 3'b101
107`define INSN_alu_SCF 3'b110
108`define INSN_alu_CCF 3'b111
94522011 109
5c33c5c0
JW
110`define EXEC_INC_PC `_PC <= `_PC + 1;
111`define EXEC_NEXTADDR_PCINC address <= `_PC + 1;
112`define EXEC_NEWCYCLE begin newcycle <= 1; rd <= 1; wr <= 0; end
113`define EXEC_WRITE(ad, da) begin address <= (ad); wdata <= (da); wr <= 1; end end
114`define EXEC_READ(ad) begin address <= (ad); rd <= 1; end end
5509558d 115
2f55f809
JW
116module GBZ80Core(
117 input clk,
eb0f2fe1 118 output reg [15:0] busaddress, /* BUS_* is latched on STATE_FETCH. */
2f55f809 119 inout [7:0] busdata,
eb0f2fe1 120 output reg buswr, output reg busrd,
6c46357c
JW
121 input irq, input [7:0] jaddr,
122 output reg [1:0] state);
2f55f809 123
6c46357c 124// reg [1:0] state; /* State within this bus cycle (see STATE_*). */
9c834ff2 125 reg [2:0] cycle; /* Cycle for instructions. */
2f55f809
JW
126
127 reg [7:0] registers[11:0];
128
129 reg [15:0] address; /* Address for the next bus operation. */
130
131 reg [7:0] opcode; /* Opcode from the current machine cycle. */
132
133 reg [7:0] rdata, wdata; /* Read data from this bus cycle, or write data for the next. */
9c834ff2 134 reg rd, wr, newcycle;
2f55f809 135
ef6fbe31 136 reg [7:0] tmp, tmp2; /* Generic temporary regs. */
b85870e0 137
2f55f809
JW
138 reg [7:0] buswdata;
139 assign busdata = buswr ? buswdata : 8'bzzzzzzzz;
140
eb0f2fe1 141 reg ie, iedelay;
abae5818 142
2f55f809 143 initial begin
241c995c
JW
144 registers[ 0] <= 0;
145 registers[ 1] <= 0;
146 registers[ 2] <= 0;
147 registers[ 3] <= 0;
148 registers[ 4] <= 0;
149 registers[ 5] <= 0;
150 registers[ 6] <= 0;
151 registers[ 7] <= 0;
152 registers[ 8] <= 0;
153 registers[ 9] <= 0;
154 registers[10] <= 0;
155 registers[11] <= 0;
2e642f1f
JW
156 rd <= 1;
157 wr <= 0;
158 newcycle <= 1;
159 state <= 0;
160 cycle <= 0;
f8db6448
JW
161 busrd <= 0;
162 buswr <= 0;
163 busaddress <= 0;
9c834ff2 164 ie <= 0;
f8db6448 165 iedelay <= 0;
9c834ff2
JW
166 opcode <= 0;
167 state <= `STATE_WRITEBACK;
168 cycle <= 0;
2f55f809
JW
169 end
170
171 always @(posedge clk)
172 case (state)
173 `STATE_FETCH: begin
2e642f1f 174 if (newcycle) begin
2f55f809 175 busaddress <= {registers[`REG_PCH], registers[`REG_PCL]};
2e642f1f
JW
176 buswr <= 0;
177 busrd <= 1;
178 end else begin
2f55f809 179 busaddress <= address;
2e642f1f
JW
180 buswr <= wr;
181 busrd <= rd;
182 if (wr)
183 buswdata <= wdata;
184 end
2f55f809
JW
185 state <= `STATE_DECODE;
186 end
187 `STATE_DECODE: begin
188 if (newcycle) begin
f8db6448
JW
189 if (ie && irq)
190 opcode <= `INSN_VOP_INTR;
191 else
192 opcode <= busdata;
2f55f809 193 rdata <= busdata;
b85870e0 194 newcycle <= 0;
2f55f809 195 cycle <= 0;
2e642f1f 196 end else begin
2f55f809 197 if (rd) rdata <= busdata;
2e642f1f
JW
198 cycle <= cycle + 1;
199 end
f8db6448
JW
200 if (iedelay) begin
201 ie <= 1;
202 iedelay <= 0;
203 end
2f55f809
JW
204 buswr <= 0;
205 busrd <= 0;
97649fed
JW
206 wr <= 0;
207 rd <= 0;
208 address <= 16'bxxxxxxxxxxxxxxxx; // Make it obvious if something of type has happened.
209 wdata <= 8'bxxxxxxxx;
2f55f809
JW
210 state <= `STATE_EXECUTE;
211 end
212 `STATE_EXECUTE: begin
2f55f809 213 casex (opcode)
81358c71
JW
214 `define EXECUTE
215 `include "allinsns.v"
216 `undef EXECUTE
634ce02c
JW
217 default:
218 $stop;
2f55f809
JW
219 endcase
220 state <= `STATE_WRITEBACK;
221 end
222 `STATE_WRITEBACK: begin
223 casex (opcode)
81358c71
JW
224 `define WRITEBACK
225 `include "allinsns.v"
226 `undef WRITEBACK
ef6fbe31
JW
227 default:
228 $stop;
2f55f809
JW
229 endcase
230 state <= `STATE_FETCH;
231 end
232 endcase
233endmodule
This page took 0.059235 seconds and 4 git commands to generate.