]> Joshua Wise's Git repositories - fpgaboy.git/blame - GBZ80Core.v
Add ld (bc/de),a, ld a,(bc/de)
[fpgaboy.git] / GBZ80Core.v
CommitLineData
df770340
JW
1`define REG_A 0
2`define REG_B 1
3`define REG_C 2
4`define REG_D 3
5`define REG_E 4
6`define REG_F 5
7`define REG_H 6
8`define REG_L 7
9`define REG_SPH 8
10`define REG_SPL 9
11`define REG_PCH 10
12`define REG_PCL 11
2f55f809 13
5509558d
JW
14`define _A registers[`REG_A]
15`define _B registers[`REG_B]
16`define _C registers[`REG_C]
17`define _D registers[`REG_D]
18`define _E registers[`REG_E]
19`define _F registers[`REG_F]
20`define _H registers[`REG_H]
21`define _L registers[`REG_L]
22`define _SPH registers[`REG_SPH]
23`define _SPL registers[`REG_SPL]
24`define _PCH registers[`REG_PCH]
25`define _PCL registers[`REG_PCL]
26`define _AF {`_A, `_F}
27`define _BC {`_B, `_C}
28`define _DE {`_D, `_E}
29`define _HL {`_H, `_L}
30`define _SP {`_SPH, `_SPL}
31`define _PC {`_PCH, `_PCL}
32
df770340
JW
33`define FLAG_Z 8'b10000000
34`define FLAG_N 8'b01000000
35`define FLAG_H 8'b00100000
36`define FLAG_C 8'b00010000
2f55f809 37
df770340
JW
38`define STATE_FETCH 2'h0
39`define STATE_DECODE 2'h1
2f55f809
JW
40`define STATE_EXECUTE 2'h2
41`define STATE_WRITEBACK 2'h3
42
43`define INSN_LD_reg_imm8 8'b00xxx110
df770340 44`define INSN_HALT 8'b01110110
b85870e0
JW
45`define INSN_LD_HL_reg 8'b01110xxx
46`define INSN_LD_reg_HL 8'b01xxx110
47`define INSN_LD_reg_reg 8'b01xxxxxx
634ce02c
JW
48`define INSN_LD_reg_imm16 8'b00xx0001
49`define INSN_LD_SP_HL 8'b11111001
97649fed 50`define INSN_PUSH_reg 8'b11xx0101
df770340
JW
51`define INSN_POP_reg 8'b11xx0001
52`define INSN_LDH_AC 8'b111x0010 // Either LDH A,(C) or LDH (C),A
53`define INSN_LDx_AHL 8'b001xx010 // LDD/LDI A,(HL) / (HL),A
54`define INSN_ALU8 8'b10xxxxxx // 10 xxx yyy
f888201b 55`define INSN_ALU8IMM 8'b11xxx110
df770340
JW
56`define INSN_NOP 8'b00000000
57`define INSN_RST 8'b11xxx111
58`define INSN_RET 8'b110x1001 // 1 = RETI, 0 = RET
59`define INSN_RETCC 8'b110xx000
60`define INSN_CALL 8'b11001101
61`define INSN_CALLCC 8'b110xx100 // Not that call/cc.
62`define INSN_JP_imm 8'b11000011
a85b19a7 63`define INSN_JPCC_imm 8'b110xx010
a00483d0 64`define INSN_ALU_A 8'b00xxx111
df770340
JW
65`define INSN_JP_HL 8'b11101001
66`define INSN_JR_imm 8'b00011000
722e486a 67`define INSN_JRCC_imm 8'b001xx000
dadf7990 68`define INSN_INCDEC16 8'b00xxx011
f8db6448 69`define INSN_VOP_INTR 8'b11111100 // 0xFC is grabbed by the fetch if there is an interrupt pending.
df770340
JW
70`define INSN_DI 8'b11110011
71`define INSN_EI 8'b11111011
f2b745a7
JW
72`define INSN_INCDEC_HL 8'b0011010x
73`define INSN_INCDEC_reg8 8'b00xxx10x
00573fd5
JW
74`define INSN_LD8M_A 8'b111x0000 // 1111 for ld A, x; 1110 for ld x, A; bit 1 specifies 16m8 or 8m8
75`define INSN_LD16M_A 8'b111x1010 // 1111 for ld A, x; 1110 for ld x, A; bit 1 specifies 16m8 or 8m8
4fd47c85 76`define INSN_LDBCDE_A 8'b000xx010 // 0000 for BC, 0001 for DE, 1010 for A,(x), 0010 for (x),A
a85b19a7 77
df770340
JW
78`define INSN_cc_NZ 2'b00
79`define INSN_cc_Z 2'b01
80`define INSN_cc_NC 2'b10
81`define INSN_cc_C 2'b11
fa136d63 82
b85870e0
JW
83`define INSN_reg_A 3'b111
84`define INSN_reg_B 3'b000
85`define INSN_reg_C 3'b001
86`define INSN_reg_D 3'b010
87`define INSN_reg_E 3'b011
88`define INSN_reg_H 3'b100
89`define INSN_reg_L 3'b101
df770340
JW
90`define INSN_reg_dHL 3'b110
91`define INSN_reg16_BC 2'b00
92`define INSN_reg16_DE 2'b01
93`define INSN_reg16_HL 2'b10
94`define INSN_reg16_SP 2'b11
95`define INSN_stack_AF 2'b11
96`define INSN_stack_BC 2'b00
97`define INSN_stack_DE 2'b01
98`define INSN_stack_HL 2'b10
94522011
JW
99`define INSN_alu_ADD 3'b000
100`define INSN_alu_ADC 3'b001
101`define INSN_alu_SUB 3'b010
102`define INSN_alu_SBC 3'b011
103`define INSN_alu_AND 3'b100
104`define INSN_alu_XOR 3'b101
105`define INSN_alu_OR 3'b110
106`define INSN_alu_CP 3'b111 // Oh lawd, is dat some CP?
a00483d0
JW
107`define INSN_alu_RLCA 3'b000
108`define INSN_alu_RRCA 3'b001
109`define INSN_alu_RLA 3'b010
110`define INSN_alu_RRA 3'b011
111`define INSN_alu_DAA 3'b100
112`define INSN_alu_CPL 3'b101
113`define INSN_alu_SCF 3'b110
114`define INSN_alu_CCF 3'b111
94522011 115
5c33c5c0
JW
116`define EXEC_INC_PC `_PC <= `_PC + 1;
117`define EXEC_NEXTADDR_PCINC address <= `_PC + 1;
118`define EXEC_NEWCYCLE begin newcycle <= 1; rd <= 1; wr <= 0; end
119`define EXEC_WRITE(ad, da) begin address <= (ad); wdata <= (da); wr <= 1; end end
120`define EXEC_READ(ad) begin address <= (ad); rd <= 1; end end
5509558d 121
2f55f809
JW
122module GBZ80Core(
123 input clk,
eb0f2fe1 124 output reg [15:0] busaddress, /* BUS_* is latched on STATE_FETCH. */
2f55f809 125 inout [7:0] busdata,
eb0f2fe1 126 output reg buswr, output reg busrd,
6c46357c
JW
127 input irq, input [7:0] jaddr,
128 output reg [1:0] state);
2f55f809 129
6c46357c 130// reg [1:0] state; /* State within this bus cycle (see STATE_*). */
9c834ff2 131 reg [2:0] cycle; /* Cycle for instructions. */
2f55f809
JW
132
133 reg [7:0] registers[11:0];
134
135 reg [15:0] address; /* Address for the next bus operation. */
136
137 reg [7:0] opcode; /* Opcode from the current machine cycle. */
138
139 reg [7:0] rdata, wdata; /* Read data from this bus cycle, or write data for the next. */
9c834ff2 140 reg rd, wr, newcycle;
2f55f809 141
ef6fbe31 142 reg [7:0] tmp, tmp2; /* Generic temporary regs. */
b85870e0 143
2f55f809
JW
144 reg [7:0] buswdata;
145 assign busdata = buswr ? buswdata : 8'bzzzzzzzz;
146
eb0f2fe1 147 reg ie, iedelay;
abae5818 148
2f55f809 149 initial begin
241c995c
JW
150 registers[ 0] <= 0;
151 registers[ 1] <= 0;
152 registers[ 2] <= 0;
153 registers[ 3] <= 0;
154 registers[ 4] <= 0;
155 registers[ 5] <= 0;
156 registers[ 6] <= 0;
157 registers[ 7] <= 0;
158 registers[ 8] <= 0;
159 registers[ 9] <= 0;
160 registers[10] <= 0;
161 registers[11] <= 0;
2e642f1f
JW
162 rd <= 1;
163 wr <= 0;
164 newcycle <= 1;
165 state <= 0;
166 cycle <= 0;
f8db6448
JW
167 busrd <= 0;
168 buswr <= 0;
169 busaddress <= 0;
9c834ff2 170 ie <= 0;
f8db6448 171 iedelay <= 0;
9c834ff2
JW
172 opcode <= 0;
173 state <= `STATE_WRITEBACK;
174 cycle <= 0;
2f55f809
JW
175 end
176
177 always @(posedge clk)
178 case (state)
179 `STATE_FETCH: begin
2e642f1f 180 if (newcycle) begin
2f55f809 181 busaddress <= {registers[`REG_PCH], registers[`REG_PCL]};
2e642f1f
JW
182 buswr <= 0;
183 busrd <= 1;
184 end else begin
2f55f809 185 busaddress <= address;
2e642f1f
JW
186 buswr <= wr;
187 busrd <= rd;
188 if (wr)
189 buswdata <= wdata;
190 end
2f55f809
JW
191 state <= `STATE_DECODE;
192 end
193 `STATE_DECODE: begin
194 if (newcycle) begin
f8db6448
JW
195 if (ie && irq)
196 opcode <= `INSN_VOP_INTR;
197 else
198 opcode <= busdata;
2f55f809 199 rdata <= busdata;
b85870e0 200 newcycle <= 0;
2f55f809 201 cycle <= 0;
2e642f1f 202 end else begin
2f55f809 203 if (rd) rdata <= busdata;
2e642f1f
JW
204 cycle <= cycle + 1;
205 end
f8db6448
JW
206 if (iedelay) begin
207 ie <= 1;
208 iedelay <= 0;
209 end
2f55f809
JW
210 buswr <= 0;
211 busrd <= 0;
97649fed
JW
212 wr <= 0;
213 rd <= 0;
214 address <= 16'bxxxxxxxxxxxxxxxx; // Make it obvious if something of type has happened.
215 wdata <= 8'bxxxxxxxx;
2f55f809
JW
216 state <= `STATE_EXECUTE;
217 end
218 `STATE_EXECUTE: begin
2f55f809 219 casex (opcode)
81358c71
JW
220 `define EXECUTE
221 `include "allinsns.v"
222 `undef EXECUTE
634ce02c
JW
223 default:
224 $stop;
2f55f809
JW
225 endcase
226 state <= `STATE_WRITEBACK;
227 end
228 `STATE_WRITEBACK: begin
229 casex (opcode)
81358c71
JW
230 `define WRITEBACK
231 `include "allinsns.v"
232 `undef WRITEBACK
ef6fbe31
JW
233 default:
234 $stop;
2f55f809
JW
235 endcase
236 state <= `STATE_FETCH;
237 end
238 endcase
239endmodule
This page took 0.072915 seconds and 4 git commands to generate.