]> Joshua Wise's Git repositories - firearm.git/tree
Add chip enable correctness for CellularRAM.
-rw-r--r-- 24 .gitattributes
-rw-r--r-- 3290 ARM_Constants.v
-rw-r--r-- 1091 BigBlockRAM.v
-rw-r--r-- 1063 BlockRAM.v
-rw-r--r-- 463 BusArbiter.v
-rw-r--r-- 1306 CellularRAM.v
-rw-r--r-- 3164 DCache.v
-rw-r--r-- 10005 Decode.v
-rw-r--r-- 10481 Execute.v
-rw-r--r-- 1674 Fetch.v
-rw-r--r-- 2911 ICache.v
-rw-r--r-- 9637 Issue.v
-rw-r--r-- 531 Makefile
-rw-r--r-- 21352 Memory.v
-rw-r--r-- 1218 RegFile.v
-rw-r--r-- 1427 Terminal.v
-rw-r--r-- 1146 Writeback.v
-rw-r--r-- 1427 ram.comments.hex
lrwxrwxrwx 13 ram.hex -> tests/ram.hex
-rw-r--r-- 17559 system.v
-rw-r--r-- 1292 testbench.cpp
drwxr-xr-x - tests
drwxr-xr-x - xst
This page took 0.032083 seconds and 5 git commands to generate.