5 output wire [31:0] rd_addr,
14 output wire [31:0] insn,
15 output reg [31:0] pc);
20 always @(negedge Nrst)
26 else if (stall) /* don't change any internal state */
33 assign bubble = stall | rd_wait;
35 assign rd_req = !stall;
36 assign insn = rd_data;