]> Joshua Wise's Git repositories - fpgaboy.git/commitdiff
Compiles
authorJoshua Wise <joshua@nyus.joshuawise.com>
Mon, 28 Apr 2008 09:47:33 +0000 (05:47 -0400)
committerJoshua Wise <joshua@nyus.joshuawise.com>
Mon, 28 Apr 2008 09:47:33 +0000 (05:47 -0400)
CoreTop.ucf
Soundcore.v
System.v
bootrom.asm

index 2f73107578869ee988c75b0b0d79f78e2efe5586..7943b6d67476a5c8f0d90ee53885f95cf854f026 100644 (file)
@@ -47,4 +47,7 @@ NET "g<2>" LOC = "p6";
 NET "g<1>" LOC = "p8";
 NET "g<0>" LOC = "n8";
 NET "b<1>" LOC = "u4";
 NET "g<1>" LOC = "p8";
 NET "g<0>" LOC = "n8";
 NET "b<1>" LOC = "u4";
-NET "b<0>" LOC = "u5";
\ No newline at end of file
+NET "b<0>" LOC = "u5";
+
+NET "soundl" LOC = "l15" | SLEW = "fast";
+NET "soundr" LOC = "k12" | SLEW = "fast";
\ No newline at end of file
index 172ed4f6aee9fc297e6eaf23abff0df929243dca..16d6067c490317e6609cf88168e7bb857556ff4e 100644 (file)
@@ -44,7 +44,7 @@ module Soundcore(
                snd_data_r <= (pwmcnt <= right_snd) ? 1 : 0;
        end
 
                snd_data_r <= (pwmcnt <= right_snd) ? 1 : 0;
        end
 
-       Sound1(
+       Sound1 s1(
                .core_clk(core_clk),
                .wr(wr),
                .rd(rd),
                .core_clk(core_clk),
                .wr(wr),
                .rd(rd),
@@ -56,7 +56,7 @@ module Soundcore(
                .snd_data(sndout1)
        );
        
                .snd_data(sndout1)
        );
        
-       Sound2(
+       Sound2 s2(
                .core_clk(core_clk),
                .wr(wr),
                .rd(rd),
                .core_clk(core_clk),
                .wr(wr),
                .rd(rd),
index caa6ae34024a08e6f4444f3f5a264ba762cbfaa2..dc70cc0d9d7a49cab58aec6a2ba9a8ae05e4070e 100644 (file)
--- a/System.v
+++ b/System.v
@@ -93,7 +93,8 @@ module CoreTop(
        output wire [7:0] seven,
        output wire hs, vs,
        output wire [2:0] r, g,
        output wire [7:0] seven,
        output wire hs, vs,
        output wire [2:0] r, g,
-       output wire [1:0] b);
+       output wire [1:0] b,
+       output wire soundl, soundr);
        
        wire xtalb, clk, vgaclk;
        IBUFG iclkbuf(.O(xtalb), .I(xtal));
        
        wire xtalb, clk, vgaclk;
        IBUFG iclkbuf(.O(xtalb), .I(xtal));
@@ -227,6 +228,15 @@ module CoreTop(
                .buttons(0),
                .master(irq),
                .jaddr(jaddr));
                .buttons(0),
                .master(irq),
                .jaddr(jaddr));
+       
+       Soundcore sound(
+               .core_clk(clk),
+               .rd(rd),
+               .wr(wr),
+               .addr(addr),
+               .data(data),
+               .snd_data_l(soundl),
+               .snd_data_r(soundr));
 endmodule
 
 module TestBench();
 endmodule
 
 module TestBench();
index 3cdf0e9a0a7ea8d2c1adfc74af837b620bdc9caf..cd760973ec199b7fb6fc16472894391576ab562e 100644 (file)
@@ -185,4 +185,8 @@ boot:       jr .running     ; $0100
 .running:
        ld a, $FF
        ld [$FF51], A
 .running:
        ld a, $FF
        ld [$FF51], A
-.diq:  jr .diq
+.diq:  ld A, [$FF51]
+       cp 0
+       jr z, .diq
+       jp $0000
+
This page took 0.032196 seconds and 4 git commands to generate.