]> Joshua Wise's Git repositories - firearm.git/blame - Memory.v
Merge Memory.
[firearm.git] / Memory.v
CommitLineData
b3bb2fb8
CL
1`include "ARM_Constants.v"
2
3module Memory(
4 input clk,
5 input Nrst,
b3bb2fb8
CL
6
7 /* bus interface */
8 output reg [31:0] busaddr,
9 output reg rd_req,
10 output reg wr_req,
11 input rw_wait,
12 output reg [31:0] wr_data,
13 input [31:0] rd_data,
14
15 /* regfile interface */
16 output reg [3:0] st_read,
17 input [31:0] st_data,
a02ca509
JW
18
19 /* stage inputs */
20 input inbubble,
21 input [31:0] pc,
22 input [31:0] insn,
e68b2378
JW
23 input [31:0] op0,
24 input [31:0] op1,
a02ca509
JW
25 input write_reg,
26 input [3:0] write_num,
27 input [31:0] write_data,
b3bb2fb8 28
a02ca509
JW
29 /* outputs */
30 output reg outstall,
31 output reg outbubble,
b3bb2fb8 32 output reg [31:0] outpc,
a02ca509
JW
33 output reg [31:0] outinsn,
34 output reg out_write_reg = 1'b0,
35 output reg [3:0] out_write_num = 4'bxxxx,
36 output reg [31:0] out_write_data = 32'hxxxxxxxx
37 );
b3bb2fb8 38
5bcb3b7e 39 reg [31:0] addr, raddr, next_regdata;
74d3729c
CL
40 reg [3:0] next_regsel;
41 reg next_writeback, next_notdone, next_inc_next;
42 reg [31:0] align_s1, align_s2, align_rddata;
a02ca509
JW
43
44 wire next_write_reg;
45 wire [3:0] next_write_num;
46 wire [31:0] next_write_data;
74d3729c 47
b783a475 48 reg [15:0] regs, next_regs;
74d3729c 49
b3bb2fb8
CL
50 reg notdone = 1'b0;
51 reg inc_next = 1'b0;
a02ca509
JW
52
53 always @(posedge clk)
54 begin
55 outpc <= pc;
56 outinsn <= insn;
57 outbubble <= rw_wait;
58 out_write_reg <= next_writeback;
59 out_write_num <= next_regsel;
60 out_write_data <= next_regdata;
61 notdone <= next_notdone;
62 inc_next <= next_inc_next;
e68b2378 63 regs <= next_regs;
a02ca509 64 end
b3bb2fb8
CL
65
66 always @(*)
67 begin
68 addr = 32'hxxxxxxxx;
69 raddr = 32'hxxxxxxxx;
70 rd_req = 1'b0;
71 wr_req = 1'b0;
72 wr_data = 32'hxxxxxxxx;
73 busaddr = 32'hxxxxxxxx;
74 outstall = 1'b0;
74d3729c 75 next_notdone = 1'b0;
a02ca509
JW
76 next_write_reg = write_reg;
77 next_write_num = write_num;
78 next_write_data = write_data;
74d3729c 79 next_inc_next = 1'b0;
a02ca509
JW
80 outstall = 1'b0;
81
b3bb2fb8
CL
82 casez(insn)
83 `DECODE_LDRSTR_UNDEFINED: begin end
84 `DECODE_LDRSTR: begin
a02ca509
JW
85 if (!inbubble) begin
86 outstall = rw_wait | notdone;
87
e68b2378
JW
88 addr = insn[23] ? op0 + op1 : op0 - op1; /* up/down select */
89 raddr = insn[24] ? op0 : addr; /* pre/post increment */
90 busaddr = {raddr[31:2], 2'b0};
a02ca509
JW
91 rd_req = insn[20];
92 wr_req = ~insn[20];
93
94 /* rotate to correct position */
95 align_s1 = raddr[1] ? {rd_data[15:0], rd_data[31:16]} : rd_data;
96 align_s2 = raddr[0] ? {align_s1[7:0], align_s1[31:8]} : align_s1;
97 /* select byte or word */
98 align_rddata = insn[22] ? {24'b0, align_s2[7:0]} : align_s2;
99
100 if(!insn[20]) begin
101 st_read = insn[15:12];
102 wr_data = insn[22] ? {4{st_data[7:0]}} : st_data; /* XXX need to actually store just a byte */
103 end
104 else if(!inc_next) begin
105 next_write_reg = 1'b1;
106 next_write_num = insn[15:12];
107 next_write_data = align_rddata;
108 next_inc_next = 1'b1;
109 end
110 else if(insn[21]) begin
111 next_write_reg = 1'b1;
112 next_write_num = insn[19:16];
113 next_write_data = addr;
114 end
115 next_notdone = rw_wait & insn[20] & insn[21];
b3bb2fb8 116 end
b3bb2fb8
CL
117 end
118 `DECODE_LDMSTM: begin
b783a475
CL
119 busaddr = {op0[31:2], 2'b0};
120 rd_req = insn[20];
121 wr_req = ~insn[20];
122 casez(regs)
123 16'b???????????????1: begin
124 next_regs = regs;
125 end
126 16'b??????????????10: begin
127 end
128 16'b?????????????100: begin
129 end
130 16'b????????????1000: begin
131 end
132 16'b???????????10000: begin
133 end
134 16'b??????????100000: begin
135 end
136 16'b?????????1000000: begin
137 end
138 16'b????????10000000: begin
139 end
140 16'b???????100000000: begin
141 end
142 16'b??????1000000000: begin
143 end
144 16'b?????10000000000: begin
145 end
146 16'b????100000000000: begin
147 end
148 16'b???1000000000000: begin
149 end
150 16'b??10000000000000: begin
151 end
152 16'b?100000000000000: begin
153 end
154 16'b1000000000000000: begin
155 end
156 default: begin
157 next_inc_next = 1'b1;
158 end
159 endcase
b3bb2fb8
CL
160 end
161 default: begin end
162 endcase
163 end
b3bb2fb8 164endmodule
This page took 0.03826 seconds and 4 git commands to generate.