]> Joshua Wise's Git repositories - mandelfpga.git/blob - Main.v
Fixed statekick once and for all, hopefully.
[mandelfpga.git] / Main.v
1 /* 
2  * MandelFPGA
3  * by Joshua Wise and Chris Lu
4  * 
5  * An implementation of a pipelined algorithm to calculate the Mandelbrot set
6  * in real time on an FPGA.
7  */
8
9 `define XRES 640
10 `define YRES 480
11 `define WHIRRRRR 27
12
13 module SyncGen(
14         input pixclk,
15         output reg vs, hs,
16         output reg [11:0] xout = `WHIRRRRR, yout = 0,
17         output wire [11:0] xoutreal, youtreal,
18         output reg border);
19         
20         reg [11:0] x = 0, y = 0;        // Used for generating border and timing.
21         assign xoutreal = x;
22         assign youtreal = y;
23         
24         parameter XFPORCH = 16;
25         parameter XSYNC = 96;
26         parameter XBPORCH = 48;
27         
28         parameter YFPORCH = 10;
29         parameter YSYNC = 2;
30         parameter YBPORCH = 29;
31
32         always @(posedge pixclk)
33         begin
34                 if (x >= (`XRES + XFPORCH + XSYNC + XBPORCH))
35                 begin
36                         if (y >= (`YRES + YFPORCH + YSYNC + YBPORCH))
37                                 y <= 0;
38                         else
39                                 y <= y + 1;
40                         x <= 0;
41                 end else
42                         x <= x + 1;
43                         
44                 if (xout >= (`XRES + XFPORCH + XSYNC + XBPORCH))
45                 begin
46                         if (yout >= (`YRES + YFPORCH + YSYNC + YBPORCH))
47                                 yout <= 0;
48                         else
49                                 yout <= yout + 1;
50                         xout <= 0;
51                 end else
52                         xout <= xout + 1;
53                 hs <= (x >= (`XRES + XFPORCH)) && (x < (`XRES + XFPORCH + XSYNC));
54                 vs <= (y >= (`YRES + YFPORCH)) && (y < (`YRES + YFPORCH + YSYNC));
55                 border <= (x > `XRES) || (y > `YRES);
56         end
57 endmodule
58
59 // bits: 1.12
60
61 module NaiveMultiplier(
62         input clk,
63         input [12:0] x, y,
64         input xsign, ysign,
65         output reg [12:0] out,
66         output reg sign,
67         output reg [1:0] ovf);
68
69         always @(posedge clk)
70         begin
71                 {ovf,out} <=
72                         (((y[12] ? (x     ) : 0)  +
73                           (y[11] ? (x >> 1) : 0)  +
74                           (y[10] ? (x >> 2) : 0)  +
75                           (y[9]  ? (x >> 3) : 0)) +
76                          ((y[8]  ? (x >> 4) : 0)  +
77                           (y[7]  ? (x >> 5) : 0)  +
78                           (y[6]  ? (x >> 6) : 0)))+
79                         (((y[5]  ? (x >> 7) : 0)  +
80                           (y[4]  ? (x >> 8) : 0)  +
81                           (y[3]  ? (x >> 9) : 0)) +
82                          ((y[2]  ? (x >> 10): 0)  +
83                           (y[1]  ? (x >> 11): 0)  +
84                           (y[0]  ? (x >> 12): 0)));
85                 sign <= xsign ^ ysign;
86         end
87
88 endmodule
89
90 module Multiplier(
91         input clk,
92         input [12:0] x, y,
93         input xsign, ysign,
94         output wire [12:0] out,
95         output wire sign,
96         output wire [1:0] overflow);
97
98         NaiveMultiplier nm(clk, x, y, xsign, ysign, out, sign, overflow);
99
100 endmodule
101
102 module MandelUnit(
103         input clk,
104         input [12:0] x, y,
105         input xsign, ysign,
106         input [14:0] r, i,
107         input rsign, isign,
108         input [7:0] ibail, icuriter,
109         output reg [12:0] xout, yout,
110         output reg xsout, ysout,
111         output reg [14:0] rout, iout,
112         output reg rsout, isout,
113         output reg [7:0] obail, ocuriter);
114
115         wire [14:0] r2, i2, ri, diff;
116         wire [15:0] twocdiff;
117         wire r2sign, i2sign, risign, dsign;
118         wire [16:0] bigsum;
119         wire bigsum_ovf, rin_ovf, iin_ovf, throwaway;
120
121         reg [12:0] xd, yd;
122         reg rd, id;
123         reg xsd, ysd;
124         reg [7:0] ibaild, curiterd;
125
126         assign ri[0] = 0;
127
128         Multiplier r2m(clk, r[12:0], r[12:0], rsign, rsign, r2[12:0], r2sign, r2[14:13]);
129         Multiplier i2m(clk, i[12:0], i[12:0], isign, isign, i2[12:0], i2sign, i2[14:13]);
130         Multiplier rim(clk, r[12:0], i[12:0], rsign, isign, ri[13:1], risign, {throwaway,ri[14]});
131
132         assign bigsum = r2 + i2;
133         assign bigsum_ovf = bigsum[16] | bigsum[15] | bigsum[14];
134         assign rin_ovf = rd;
135         assign iin_ovf = id;
136         assign twocdiff = r2 - i2;
137         assign diff = twocdiff[15] ? -twocdiff : twocdiff;
138         assign dsign = twocdiff[15];
139
140         always @ (posedge clk)
141         begin
142                 xd <= x;
143                 yd <= y;
144                 xsd <= xsign;
145                 ysd <= ysign;
146                 xout <= xd;
147                 yout <= yd;
148                 xsout <= xsd;
149                 ysout <= ysd;
150                 ibaild <= ibail;
151                 curiterd <= icuriter;
152                 rd <= r[13] | r[14];
153                 id <= i[13] | i[14];
154
155                 if (xsd ^ dsign) begin
156                         if (diff > xd) begin
157                                 rout <= diff - xd;
158                                 rsout <= dsign;
159                         end else begin
160                                 rout <= xd - diff;
161                                 rsout <= xsd;
162                         end
163                 end else begin
164                         rout <= diff + xd;
165                         rsout <= xsd;
166                 end
167                 
168                 if (ysd ^ risign) begin
169                         if (ri > yd) begin
170                                 iout <= ri - yd;
171                                 isout <= risign;
172                         end else begin
173                                 iout <= yd - ri;
174                                 isout <= ysd;
175                         end
176                 end else begin
177                         iout <= ri + yd;
178                         isout <= ysd;
179                 end
180                 
181                 // If we haven't bailed out, and we meet any of the bailout conditions,
182                 // bail out now.  Otherwise, leave the bailout at whatever it was before.
183                 if ((ibaild == 255) && (bigsum_ovf | rin_ovf | iin_ovf))
184                         obail <= curiterd;
185                 else
186                         obail <= ibaild;
187                 ocuriter <= curiterd + 8'b1;
188         end
189
190 endmodule
191
192 module Mandelbrot(
193         input mclk,
194         input pixclk,
195         input [11:0] x, y,
196         input [13:0] xofs, yofs,
197         input [7:0] colorofs,
198         input [2:0] scale,
199         output reg [2:0] red, green, output reg [1:0] blue);
200
201 `define MAXOUTN 11
202         
203         wire [12:0] rx, ry;
204         wire [13:0] nx, ny;
205         wire rxsign, rysign;
206         
207         assign nx = x + xofs;
208         assign ny = y + yofs;
209         assign rx = (nx[13] ? -nx[12:0] : nx[12:0]) << scale;
210         assign rxsign = nx[13];
211         assign ry = (ny[13] ? -ny[12:0] : ny[12:0]) << scale;
212         assign rysign = ny[13];
213         
214
215         wire [14:0] mr[`MAXOUTN:0], mi[`MAXOUTN:0];
216         wire mrs[`MAXOUTN:0], mis[`MAXOUTN:0];
217         wire [7:0] mb[`MAXOUTN:0];
218         wire [12:0] xprop[`MAXOUTN:0], yprop[`MAXOUTN:0];
219         wire xsprop[`MAXOUTN:0], ysprop[`MAXOUTN:0];
220         wire [7:0] curiter[`MAXOUTN:0];
221         
222         wire [14:0] initx, inity, initr, initi;
223         wire [7:0] initci, initb;
224         wire initxs, initys, initrs, initis;
225         
226         // Values after the number of iterations denoted by the subscript.
227         reg [14:0] stagex [2:1], stagey [2:1], stager [2:1], stagei [2:1];
228         reg [7:0] stageci [2:1], stageb [2:1];
229         reg stagexs [2:1], stageys [2:1], stagers [2:1], stageis [2:1];
230         
231         reg [2:0] state = 3'b001;       // One-hot encoded state.
232         
233         assign initx = state[0] ? rx :
234                        state[1] ? stagex[1] :
235                        stagex[2];
236         assign inity = state[0] ? ry :
237                        state[1] ? stagey[1] :
238                        stagey[2];
239         assign initr = state[0] ? rx :
240                        state[1] ? stager[1] :
241                        stager[2];
242         assign initi = state[0] ? ry :
243                        state[1] ? stagei[1] :
244                        stagei[2];
245         assign initxs = state[0] ? rxsign :
246                         state[1] ? stagexs[1] :
247                         stagexs[2];
248         assign initys = state[0] ? rysign :
249                         state[1] ? stageys[1] :
250                         stageys[2];
251         assign initrs = state[0] ? rxsign :
252                         state[1] ? stagers[1] :
253                         stagers[2];
254         assign initis = state[0] ? rysign :
255                         state[1] ? stageis[1] :
256                         stageis[2];
257         assign initb = state[0] ? 8'b11111111 :
258                        state[1] ? stageb[1] :
259                        stageb[2];
260         assign initci = state[0] ? 8'b00000000 :
261                         state[1] ? stageci[1] : 
262                         stageci[2];
263         
264         reg [7:0] out;
265         
266         // We detect when the state should be poked by a high negedge followed
267         // by a high posedge -- if tha thappens, then we're guaranteed that the
268         // state following the current state will be 100.
269         reg lastneg;
270         always @(negedge mclk)
271                 lastneg <= pixclk;
272         
273         always @(posedge mclk)
274         begin
275                 if (lastneg && pixclk)  // If a pixclk has happened, the state should be reset.
276                         state <= 3'b100;
277                 else                                            // Otherwise, just poke it forward.
278                         state <= {state[1], state[0], state[2]};
279         
280                 // Data output handling
281                 if (state[0]) begin
282                         {red, green, blue} <= {out[0],out[3],out[6],out[1],out[4],out[7],out[2],out[5]};
283                 end
284                 if (state[1]) begin
285                         out <= ~mb[`MAXOUTN] + colorofs;
286                 end
287                 
288                 if (state[0]) begin             // PnR0 in, PnR2 out
289                         stagex[2] <= xprop[`MAXOUTN];
290                         stagey[2] <= yprop[`MAXOUTN];
291                         stager[2] <= mr[`MAXOUTN];
292                         stagei[2] <= mi[`MAXOUTN];
293                         stagexs[2] <= xsprop[`MAXOUTN];
294                         stageys[2] <= ysprop[`MAXOUTN];
295                         stagers[2] <= mrs[`MAXOUTN];
296                         stageis[2] <= mis[`MAXOUTN];
297                         stageb[2] <= mb[`MAXOUTN];
298                         stageci[2] <= curiter[`MAXOUTN];
299                 end
300                 
301                 if (state[2]) begin     // PnR2 in, PnR1 out
302                         stagex[1] <= xprop[`MAXOUTN];
303                         stagey[1] <= yprop[`MAXOUTN];
304                         stager[1] <= mr[`MAXOUTN];
305                         stagei[1] <= mi[`MAXOUTN];
306                         stagexs[1] <= xsprop[`MAXOUTN];
307                         stageys[1] <= ysprop[`MAXOUTN];
308                         stagers[1] <= mrs[`MAXOUTN];
309                         stageis[1] <= mis[`MAXOUTN];
310                         stageb[1] <= mb[`MAXOUTN];
311                         stageci[1] <= curiter[`MAXOUTN];
312                 end
313         end
314
315         MandelUnit mu0(
316                 mclk,
317                 initx, inity, initxs, initys,
318                 initr, initi, initrs, initis,
319                 initb, initci,
320                 xprop[0], yprop[0], xsprop[0], ysprop[0],
321                 mr[0], mi[0], mrs[0], mis[0],
322                 mb[0], curiter[0]);
323
324         MandelUnit mu1(mclk,
325                 xprop[0], yprop[0], xsprop[0], ysprop[0], mr[0], mi[0], mrs[0], mis[0], mb[0], curiter[0],
326                 xprop[1], yprop[1], xsprop[1], ysprop[1], mr[1], mi[1], mrs[1], mis[1], mb[1], curiter[1]);
327         MandelUnit mu2(mclk,
328                 xprop[1], yprop[1], xsprop[1], ysprop[1], mr[1], mi[1], mrs[1], mis[1], mb[1], curiter[1],
329                 xprop[2], yprop[2], xsprop[2], ysprop[2], mr[2], mi[2], mrs[2], mis[2], mb[2], curiter[2]);
330         MandelUnit mu3(mclk,
331                 xprop[2], yprop[2], xsprop[2], ysprop[2], mr[2], mi[2], mrs[2], mis[2], mb[2], curiter[2],
332                 xprop[3], yprop[3], xsprop[3], ysprop[3], mr[3], mi[3], mrs[3], mis[3], mb[3], curiter[3]);
333         MandelUnit mu4(mclk,
334                 xprop[3], yprop[3], xsprop[3], ysprop[3], mr[3], mi[3], mrs[3], mis[3], mb[3], curiter[3],
335                 xprop[4], yprop[4], xsprop[4], ysprop[4], mr[4], mi[4], mrs[4], mis[4], mb[4], curiter[4]);
336         MandelUnit mu5(mclk,
337                 xprop[4], yprop[4], xsprop[4], ysprop[4], mr[4], mi[4], mrs[4], mis[4], mb[4], curiter[4],
338                 xprop[5], yprop[5], xsprop[5], ysprop[5], mr[5], mi[5], mrs[5], mis[5], mb[5], curiter[5]);
339         MandelUnit mu6(mclk,
340                 xprop[5], yprop[5], xsprop[5], ysprop[5], mr[5], mi[5], mrs[5], mis[5], mb[5], curiter[5],
341                 xprop[6], yprop[6], xsprop[6], ysprop[6], mr[6], mi[6], mrs[6], mis[6], mb[6], curiter[6]);
342         MandelUnit mu7(mclk,
343                 xprop[6], yprop[6], xsprop[6], ysprop[6], mr[6], mi[6], mrs[6], mis[6], mb[6], curiter[6],
344                 xprop[7], yprop[7], xsprop[7], ysprop[7], mr[7], mi[7], mrs[7], mis[7], mb[7], curiter[7]);
345         MandelUnit mu8(mclk,
346                 xprop[7], yprop[7], xsprop[7], ysprop[7], mr[7], mi[7], mrs[7], mis[7], mb[7], curiter[7],
347                 xprop[8], yprop[8], xsprop[8], ysprop[8], mr[8], mi[8], mrs[8], mis[8], mb[8], curiter[8]);
348         MandelUnit mu9(mclk,
349                 xprop[8], yprop[8], xsprop[8], ysprop[8], mr[8], mi[8], mrs[8], mis[8], mb[8], curiter[8],
350                 xprop[9], yprop[9], xsprop[9], ysprop[9], mr[9], mi[9], mrs[9], mis[9], mb[9], curiter[9]);
351         MandelUnit mua(mclk,
352                 xprop[9],  yprop[9],  xsprop[9],  ysprop[9],  mr[9],  mi[9],  mrs[9],  mis[9],  mb[9], curiter[9],
353                 xprop[10], yprop[10], xsprop[10], ysprop[10], mr[10], mi[10], mrs[10], mis[10], mb[10], curiter[10]);
354         MandelUnit mub(mclk,
355                 xprop[10], yprop[10], xsprop[10], ysprop[10], mr[10], mi[10], mrs[10], mis[10], mb[10], curiter[10],
356                 xprop[11], yprop[11], xsprop[11], ysprop[11], mr[11], mi[11], mrs[11], mis[11], mb[11], curiter[11]);
357
358 endmodule
359
360 module Logo(
361         input pixclk,
362         input [11:0] x, y,
363         output wire enb,
364         output wire [2:0] red, green, output wire [1:0] blue);
365         
366         reg [1:0] logo[8191:0];
367         initial $readmemb("logo.readmemb", logo);
368         
369         assign enb = (x < 96) && (y < 64);
370         wire [12:0] addr = {y[5:0], x[6:0]};
371         wire [1:0] data = logo[addr];
372         assign {red, green, blue} = 
373                  (data == 2'b00) ? 8'b00000000 :
374                 ((data == 2'b01) ? 8'b00011100 :
375                 ((data == 2'b10) ? 8'b11100000 :
376                                     8'b11111111));
377 endmodule
378
379 module MandelTop(
380         input gclk, output wire dcmok,
381         output wire vs, hs,
382         output wire [2:0] red, green, output [1:0] blue,
383         input left, right, up, down, rst, cycle, logooff,
384         input [2:0] scale);
385
386
387         wire pixclk, mclk, gclk2, clk;
388         wire dcm1ok, dcm2ok;
389         //assign dcmok = dcm1ok && dcm2ok;
390         
391         //IBUFG typeA(.O(clk), .I(gclk));
392         
393         //pixDCM dcm(                                   // CLKIN is 50MHz xtal, CLKFX_OUT is 25MHz
394         //      .CLKIN_IN(clk), 
395         //      .CLKFX_OUT(pixclk),
396         //      .LOCKED_OUT(dcm1ok)
397         //      );
398         
399         //mandelDCM dcm2(
400         //      .CLKIN_IN(clk),
401         //      .CLKFX_OUT(mclk),
402         //      .LOCKED_OUT(dcm2ok)
403         //      );
404         
405         mainDCM dcm (
406     .U1_CLKIN_IN(gclk), 
407     .U1_CLKDV_OUT(pixclk), 
408     .U2_CLKFX_OUT(mclk), 
409     .U2_LOCKED_OUT(dcmok)
410     );
411         
412         wire border;
413         wire [11:0] x, y;
414         reg [13:0] xofs = -`XRES/2, yofs = -`YRES/2;
415         reg [5:0] slowctr = 0;
416         reg [7:0] colorcycle = 0;
417         wire [11:0] realx, realy;
418         
419         wire logoenb;
420         wire [2:0] mandelr, mandelg, logor, logog;
421         wire [1:0] mandelb, logob;
422         
423         
424
425         SyncGen sync(pixclk, vs, hs, x, y, realx, realy, border);
426         Mandelbrot mandel(mclk, pixclk, x, y, xofs, yofs, cycle ? colorcycle : 0, scale, mandelr, mandelg, mandelb);
427         Logo logo(pixclk, realx, realy, logoenb, logor, logog, logob);
428         
429         assign {red,green,blue} =
430                 border ? 8'b00000000 :
431                 (!logooff && logoenb) ? {logor, logog, logob} : {mandelr, mandelg, mandelb};
432         
433         always @(posedge vs)
434         begin
435                 if (rst)
436                 begin
437                         xofs <= -`XRES/2;
438                         yofs <= -`YRES/2;
439                         colorcycle <= 0;
440                 end else begin
441                         if (up) yofs <= yofs + 1;
442                         else if (down) yofs <= yofs - 1;
443                         
444                         if (left) xofs <= xofs + 1;
445                         else if (right) xofs <= xofs - 1;
446                         
447                         if (slowctr == 0)
448                                 colorcycle <= colorcycle + 1;
449                 end
450                 
451                 if (slowctr == 12)
452                         slowctr <= 0;
453                 else
454                         slowctr <= slowctr + 1;
455         end
456 endmodule
This page took 0.046306 seconds and 4 git commands to generate.