]> Joshua Wise's Git repositories - firearm.git/commitdiff
Add chip enable correctness for CellularRAM. master msater
authorJoshua Wise <jwise@andrew.cmu.edu>
Sat, 28 Aug 2010 22:29:06 +0000 (18:29 -0400)
committerJoshua Wise <jwise@andrew.cmu.edu>
Sat, 28 Aug 2010 22:29:06 +0000 (18:29 -0400)
CellularRAM.v

index 81622cde88953ae7c17379b84b422b40b6dfe794..f7217dedd44eb4229b66666559a3598db6b923b4 100644 (file)
@@ -40,7 +40,7 @@ module CellularRAM(
        
        assign st_nCE = 0;
        assign cr_nADV = ~decode;
-       assign cr_nCE = 0;
+       assign cr_nCE = ~active;
        assign cr_nOE = ~bus_rd;
        assign cr_nWE = ~bus_wr;
        assign cr_CRE = 0;
This page took 0.037443 seconds and 4 git commands to generate.