]> Joshua Wise's Git repositories - firearm.git/commitdiff
decode: fix, regfile: different starting constants for greater usefulness, ram.hex...
authorChristopher Lu <lu@stop.hsd1.pa.comcast.net>
Sun, 28 Dec 2008 10:16:19 +0000 (05:16 -0500)
committerChristopher Lu <lu@stop.hsd1.pa.comcast.net>
Sun, 28 Dec 2008 10:16:19 +0000 (05:16 -0500)
Decode.v
RegFile.v
ram.hex

index cbe6184d5266a53e4a176a632e03dc7983b62461..22c6eaed9255115292ee6d48b44cede028bcc359 100644 (file)
--- a/Decode.v
+++ b/Decode.v
@@ -315,11 +315,11 @@ module SuckLessShifter(
 
        /* do a barrel shift */
        assign stage1 = amt[5] ? {is_rot ? oper : {32{pushbits}}, oper[31]} : {oper, carryin};
-       assign stage2 = amt[4] ? {is_rot ? stage1[15:0] : {16{pushbits}}, stage1[31:16], stage1[15]} : stage1;
-       assign stage3 = amt[3] ? {is_rot ? stage2[7:0] : {8{pushbits}}, stage2[31:8], stage2[7]} : stage2;
-       assign stage4 = amt[2] ? {is_rot ? stage3[3:0] : {4{pushbits}}, stage3[31:4], stage3[3]} : stage3;
-       assign stage5 = amt[1] ? {is_rot ? stage4[1:0] : {2{pushbits}}, stage4[31:2], stage4[1]} : stage4;
-       assign {res, carryout} = amt[0] ? {is_rot ? stage5[0] : pushbits, stage5[31:1], stage5[0]} : stage5;
+       assign stage2 = amt[4] ? {is_rot ? stage1[16:1] : {16{pushbits}}, stage1[32:17], stage1[16]} : stage1;
+       assign stage3 = amt[3] ? {is_rot ? stage2[8:1] : {8{pushbits}}, stage2[32:9], stage2[8]} : stage2;
+       assign stage4 = amt[2] ? {is_rot ? stage3[4:1] : {4{pushbits}}, stage3[32:5], stage3[4]} : stage3;
+       assign stage5 = amt[1] ? {is_rot ? stage4[2:1] : {2{pushbits}}, stage4[32:3], stage4[2]} : stage4;
+       assign {res, carryout} = amt[0] ? {is_rot ? stage5[1] : pushbits, stage5[32:2], stage5[1]} : stage5;
 
 endmodule
 
index f5a63aec68cc003ddf386a901cc13391f8d7d15e..a9699e93ab3b49eda73685d48b155367652c8036 100644 (file)
--- a/RegFile.v
+++ b/RegFile.v
@@ -22,14 +22,14 @@ module RegFile(
                regfile[4'h5] = 32'h00500000;
                regfile[4'h6] = 32'h05000000;
                regfile[4'h7] = 32'h50000000;
-               regfile[4'h8] = 32'h0000000A;
-               regfile[4'h9] = 32'h000000A0;
-               regfile[4'hA] = 32'h00000A00;
-               regfile[4'hB] = 32'h0000A000;
-               regfile[4'hC] = 32'h000A0000;
-               regfile[4'hD] = 32'h00A00000;
-               regfile[4'hE] = 32'h0A000000;
-               regfile[4'hF] = 32'hA0000000;
+               regfile[4'h8] = 32'hA0000000;
+               regfile[4'h9] = 32'h0A000000;
+               regfile[4'hA] = 32'h00A00000;
+               regfile[4'hB] = 32'h000A0000;
+               regfile[4'hC] = 32'h0000A000;
+               regfile[4'hD] = 32'h00000A00;
+               regfile[4'hE] = 32'h000000A0;
+               regfile[4'hF] = 32'h0000000A;
        end
        
        always @(*)
diff --git a/ram.hex b/ram.hex
index 937ce99cf7e6a9b83d4f9ca1fee0172dcfa7d2c3..083ed80cc64581707978ac69317177592faa6197 100644 (file)
--- a/ram.hex
+++ b/ram.hex
@@ -1,3 +1,20 @@
+e0012011
+e0013038
+e0014058
+e0015031
+e0016071
+e0012138
+e0013158
+e0014008
+e0015028
+e0016048
+e0017068
+e00120e8
+e0013168
+e00141e8
+e0015268
+e00162e8
+e0017368
 e180f081
 e180f081
 e180f081
This page took 0.032498 seconds and 4 git commands to generate.