5 output wire [31:0] rd_addr,
13 output reg bubble = 1,
14 output reg [31:0] insn = 0,
15 output reg [31:0] pc = 0);
20 prevpc = 32'hFFFFFFFC; /* ugh... the first pc we request will be this +4 */
21 always @(negedge Nrst)
22 prevpc <= 32'hFFFFFFFC;
26 nextpc = 32'hFFFFFFFC;
27 else if (stall) /* don't change any internal state */
32 nextpc = prevpc + 32'h4;
34 assign rd_addr = nextpc;
35 assign rd_req = !stall;
39 if (!rd_wait || !Nrst)