]> Joshua Wise's Git repositories - firearm.git/blame_incremental - Memory.v
Memory: Clean up some sadness with wr_data where no data would ever get wr'ed on...
[firearm.git] / Memory.v
... / ...
CommitLineData
1`include "ARM_Constants.v"
2
3`define SWP_READING 2'b01
4`define SWP_WRITING 2'b10
5
6`define LSRH_MEMIO 3'b001
7`define LSRH_BASEWB 3'b010
8`define LSRH_WBFLUSH 3'b100
9
10`define LSR_MEMIO 4'b0001
11`define LSR_STRB_WR 4'b0010
12`define LSR_BASEWB 4'b0100
13`define LSR_WBFLUSH 4'b1000
14
15`define LSM_SETUP 4'b0001
16`define LSM_MEMIO 4'b0010
17`define LSM_BASEWB 4'b0100
18`define LSM_WBFLUSH 4'b1000
19
20
21module Memory(
22 input clk,
23 input Nrst,
24
25 input flush,
26
27 /* bus interface */
28 output reg [31:0] busaddr,
29 output reg rd_req,
30 output reg wr_req,
31 input rw_wait,
32 output reg [31:0] wr_data,
33 input [31:0] rd_data,
34 output reg [2:0] data_size,
35
36 /* regfile interface */
37 output reg [3:0] st_read,
38 input [31:0] st_data,
39
40 /* Coprocessor interface */
41 output reg cp_req,
42 input cp_ack,
43 input cp_busy,
44 output reg cp_rnw, /* 1 = read from CP, 0 = write to CP */
45 input [31:0] cp_read,
46 output reg [31:0] cp_write,
47
48 /* stage inputs */
49 input inbubble,
50 input [31:0] pc,
51 input [31:0] insn,
52 input [31:0] op0,
53 input [31:0] op1,
54 input [31:0] op2,
55 input [31:0] spsr,
56 input [31:0] cpsr,
57 input cpsrup,
58 input write_reg,
59 input [3:0] write_num,
60 input [31:0] write_data,
61
62 /* outputs */
63 output reg outstall,
64 output reg outbubble,
65 output reg [31:0] outpc,
66 output reg [31:0] outinsn,
67 output reg out_write_reg = 1'b0,
68 output reg [3:0] out_write_num = 4'bxxxx,
69 output reg [31:0] out_write_data = 32'hxxxxxxxx,
70 output reg [31:0] outspsr = 32'hxxxxxxxx,
71 output reg [31:0] outcpsr = 32'hxxxxxxxx,
72 output reg outcpsrup = 1'hx
73 );
74
75 reg [31:0] addr, raddr, prev_raddr, next_regdata, next_outcpsr;
76 reg next_outcpsrup;
77 reg [31:0] prevaddr;
78 reg [3:0] next_regsel, cur_reg, prev_reg;
79 reg next_writeback;
80
81 reg next_outbubble;
82 reg next_write_reg;
83 reg [3:0] next_write_num;
84 reg [31:0] next_write_data;
85
86 reg [3:0] lsr_state = 4'b0001, next_lsr_state;
87 reg [31:0] align_s1, align_s2, align_rddata;
88
89 reg [2:0] lsrh_state = 3'b001, next_lsrh_state;
90 reg [31:0] lsrh_rddata;
91 reg [15:0] lsrh_rddata_s1;
92 reg [7:0] lsrh_rddata_s2;
93
94 reg [15:0] regs, next_regs;
95 reg [3:0] lsm_state = 4'b0001, next_lsm_state;
96 reg [5:0] offset, prev_offset, offset_sel;
97
98 reg [31:0] swp_oldval, next_swp_oldval;
99 reg [1:0] swp_state = 2'b01, next_swp_state;
100
101 reg do_rd_data_latch;
102 reg [31:0] rd_data_latch = 32'hxxxxxxxx;
103
104 always @(posedge clk)
105 begin
106 outpc <= pc;
107 outinsn <= insn;
108 outbubble <= next_outbubble;
109 out_write_reg <= next_write_reg;
110 out_write_num <= next_write_num;
111 out_write_data <= next_write_data;
112 if (!rw_wait)
113 prev_offset <= offset;
114 prev_raddr <= raddr;
115 outcpsr <= next_outcpsr;
116 outspsr <= spsr;
117 outcpsrup <= next_outcpsrup;
118 swp_state <= next_swp_state;
119 lsm_state <= next_lsm_state;
120 lsr_state <= next_lsr_state;
121 lsrh_state <= next_lsrh_state;
122 if (do_rd_data_latch)
123 rd_data_latch <= rd_data;
124 prevaddr <= addr;
125 end
126
127 reg delayedflush = 0;
128 always @(posedge clk)
129 if (flush && outstall /* halp! I can't do it now, maybe later? */)
130 delayedflush <= 1;
131 else if (!outstall /* anything has been handled this time around */)
132 delayedflush <= 0;
133
134 /* Drive the state machines and stall. */
135 always @(*)
136 begin
137 outstall = 1'b0;
138 next_lsm_state = lsm_state;
139 next_lsr_state = lsr_state;
140 next_lsrh_state = lsrh_state;
141 next_swp_state = swp_state;
142 casez(insn)
143 `DECODE_ALU_SWP: if(!inbubble) begin
144 case(swp_state)
145 `SWP_READING: begin
146 outstall = 1'b1;
147 if (!rw_wait)
148 next_swp_state = `SWP_WRITING;
149 $display("SWP: read stage");
150 end
151 `SWP_WRITING: begin
152 outstall = rw_wait;
153 if(!rw_wait)
154 next_swp_state = `SWP_READING;
155 $display("SWP: write stage");
156 end
157 default: begin
158 outstall = 1'bx;
159 next_swp_state = 2'bxx;
160 end
161 endcase
162 end
163 `DECODE_ALU_MULT: begin end
164 `DECODE_ALU_HDATA_REG,
165 `DECODE_ALU_HDATA_IMM: if(!inbubble) begin
166 case(lsrh_state)
167 `LSRH_MEMIO: begin
168 outstall = rw_wait;
169 if(insn[21] | !insn[24]) begin
170 outstall = 1'b1;
171 if(!rw_wait)
172 next_lsrh_state = `LSRH_BASEWB;
173 end
174
175 if (flush) /* special case! */ begin
176 outstall = 1'b0;
177 next_lsrh_state = `LSRH_MEMIO;
178 end
179
180 $display("ALU_LDRSTRH: rd_req %d, wr_req %d", rd_req, wr_req);
181 end
182 `LSRH_BASEWB: begin
183 outstall = 1'b1;
184 next_lsrh_state = `LSRH_WBFLUSH;
185 end
186 `LSRH_WBFLUSH: begin
187 outstall = 1'b0;
188 next_lsrh_state = `LSRH_MEMIO;
189 end
190 default: begin
191 outstall = 1'bx;
192 next_lsrh_state = 3'bxxx;
193 end
194 endcase
195 end
196 `DECODE_LDRSTR_UNDEFINED: begin end
197 `DECODE_LDRSTR: if(!inbubble) begin
198 outstall = rw_wait;
199 case(lsr_state)
200 `LSR_MEMIO: begin
201 outstall = rw_wait;
202 next_lsr_state = `LSR_MEMIO;
203 if (insn[22] /* B */ && !insn[20] /* L */) begin /* i.e., strb */
204 outstall = 1'b1;
205 if (!rw_wait)
206 next_lsr_state = `LSR_STRB_WR;
207 end else if (insn[21] /* W */ || !insn[24] /* P */) begin /* writeback needed */
208 outstall = 1'b1;
209 if (!rw_wait)
210 next_lsr_state = `LSR_BASEWB;
211 end
212
213 if (flush) begin
214 outstall = 1'b0;
215 next_lsr_state = `LSR_MEMIO;
216 end
217 $display("LDRSTR: rd_req %d, wr_req %d, raddr %08x, wait %d", rd_req, wr_req, raddr, rw_wait);
218 end
219 `LSR_STRB_WR: begin
220 outstall = 1;
221 if(insn[21] /* W */ | !insn[24] /* P */) begin
222 if(!rw_wait)
223 next_lsr_state = `LSR_BASEWB;
224 end else if (!rw_wait)
225 next_lsr_state = `LSR_WBFLUSH;
226 $display("LDRSTR: Handling STRB");
227 end
228 `LSR_BASEWB: begin
229 outstall = 1;
230 next_lsr_state = `LSR_WBFLUSH;
231 end
232 `LSR_WBFLUSH: begin
233 outstall = 0;
234 next_lsr_state = `LSR_MEMIO;
235 end
236 default: begin
237 outstall = 1'bx;
238 next_lsr_state = 4'bxxxx;
239 end
240 endcase
241 $display("LDRSTR: Decoded, bubble %d, insn %08x, lsm state %b -> %b, stall %d", inbubble, insn, lsr_state, next_lsr_state, outstall);
242 end
243 `DECODE_LDMSTM: if(!inbubble) begin
244 outstall = rw_wait;
245 case(lsm_state)
246 `LSM_SETUP: begin
247 outstall = 1'b1;
248 next_lsm_state = `LSM_MEMIO;
249 if (flush) begin
250 outstall = 1'b0;
251 next_lsm_state = `LSM_SETUP;
252 end
253 $display("LDMSTM: Round 1: base register: %08x, reg list %b", op0, op1[15:0]);
254 end
255 `LSM_MEMIO: begin
256 outstall = 1'b1;
257 if(next_regs == 16'b0) begin
258 next_lsm_state = `LSM_BASEWB;
259 end
260
261 $display("LDMSTM: Stage 2: Writing: regs %b, next_regs %b, reg %d, wr_data %08x, addr %08x", regs, next_regs, cur_reg, st_data, busaddr);
262 end
263 `LSM_BASEWB: begin
264 outstall = 1;
265 next_lsm_state = `LSM_WBFLUSH;
266 $display("LDMSTM: Stage 3: Writing back");
267 end
268 `LSM_WBFLUSH: begin
269 outstall = 0;
270 next_lsm_state = `LSM_SETUP;
271 end
272 default: begin
273 outstall = 1'bx;
274 next_lsm_state = 4'bxxxx;
275 end
276 endcase
277 $display("LDMSTM: Decoded, bubble %d, insn %08x, lsm state %b -> %b, stall %d", inbubble, insn, lsm_state, next_lsm_state, outstall);
278 end
279 `DECODE_LDCSTC: if(!inbubble) begin
280 $display("WARNING: Unimplemented LDCSTC");
281 end
282 `DECODE_CDP: if (!inbubble) begin
283 if (cp_busy) begin
284 outstall = 1;
285 end
286 if (!cp_ack) begin
287 /* XXX undefined instruction trap */
288 $display("WARNING: Possible CDP undefined instruction");
289 end
290 end
291 `DECODE_MRCMCR: if (!inbubble) begin
292 if (cp_busy) begin
293 outstall = 1;
294 end
295 if (!cp_ack) begin
296 $display("WARNING: Possible MRCMCR undefined instruction: cp_ack %d, cp_busy %d",cp_ack, cp_busy);
297 end
298 $display("MRCMCR: ack %d, busy %d", cp_ack, cp_busy);
299 end
300 default: begin end
301 endcase
302 end
303
304 /* Coprocessor input. */
305 always @(*)
306 begin
307 cp_req = 0;
308 cp_rnw = 1'bx;
309 cp_write = 32'hxxxxxxxx;
310 casez (insn)
311 `DECODE_CDP: if(!inbubble) begin
312 cp_req = 1;
313 end
314 `DECODE_MRCMCR: if(!inbubble) begin
315 cp_req = 1;
316 cp_rnw = insn[20] /* L */;
317 if (insn[20] == 0 /* store to coprocessor */)
318 cp_write = op0;
319 end
320 endcase
321 end
322
323 /* Register output logic. */
324 always @(*)
325 begin
326 next_write_reg = write_reg;
327 next_write_num = write_num;
328 next_write_data = write_data;
329 next_outcpsr = lsm_state == 4'b0010 ? outcpsr : cpsr;
330 next_outcpsrup = cpsrup;
331
332 casez(insn)
333 `DECODE_ALU_SWP: if (!inbubble) begin
334 next_write_reg = 1'bx;
335 next_write_num = 4'bxxxx;
336 next_write_data = 32'hxxxxxxxx;
337 case(swp_state)
338 `SWP_READING:
339 next_write_reg = 1'b0;
340 `SWP_WRITING: begin
341 next_write_reg = 1'b1;
342 next_write_num = insn[15:12];
343 next_write_data = insn[22] ? {24'b0, swp_oldval[7:0]} : swp_oldval;
344 end
345 default: begin end
346 endcase
347 end
348 `DECODE_ALU_MULT: begin end
349 `DECODE_ALU_HDATA_REG,
350 `DECODE_ALU_HDATA_IMM: if(!inbubble) begin
351 next_write_reg = 1'bx;
352 next_write_num = 4'bxxxx;
353 next_write_data = 32'hxxxxxxxx;
354 case(lsrh_state)
355 `LSRH_MEMIO: begin
356 next_write_num = insn[15:12];
357 next_write_data = lsrh_rddata;
358 if(insn[20]) begin
359 next_write_reg = 1'b1;
360 end
361 end
362 `LSRH_BASEWB: begin
363 next_write_reg = 1'b1;
364 next_write_num = insn[19:16];
365 next_write_data = addr;
366 end
367 `LSRH_WBFLUSH:
368 next_write_reg = 1'b0;
369 default: begin end
370 endcase
371 end
372 `DECODE_LDRSTR_UNDEFINED: begin end
373 `DECODE_LDRSTR: if(!inbubble) begin
374 next_write_reg = 1'bx;
375 next_write_num = 4'bxxxx;
376 next_write_data = 32'hxxxxxxxx;
377 case(lsr_state)
378 `LSR_MEMIO: begin
379 next_write_reg = insn[20] /* L */;
380 next_write_num = insn[15:12];
381 if(insn[20] /* L */) begin
382 next_write_data = insn[22] /* B */ ? {24'h0, align_rddata[7:0]} : align_rddata;
383 end
384 end
385 `LSR_STRB_WR:
386 next_write_reg = 1'b0;
387 `LSR_BASEWB: begin
388 next_write_reg = 1'b1;
389 next_write_num = insn[19:16];
390 next_write_data = addr;
391 end
392 `LSR_WBFLUSH:
393 next_write_reg = 1'b0;
394 default: begin end
395 endcase
396 end
397 `DECODE_LDMSTM: if(!inbubble) begin
398 next_write_reg = 1'bx;
399 next_write_num = 4'bxxxx;
400 next_write_data = 32'hxxxxxxxx;
401 case(lsm_state)
402 `LSM_SETUP:
403 next_write_reg = 1'b0;
404 `LSM_MEMIO: begin
405 if(insn[20]) begin
406 next_write_reg = !rw_wait;
407 next_write_num = cur_reg;
408 next_write_data = rd_data;
409 end else
410 next_write_reg = 1'b0;
411 end
412 `LSM_BASEWB: begin
413 next_write_reg = insn[21] /* writeback */;
414 next_write_num = insn[19:16];
415 next_write_data = insn[23] ? op0 + {26'b0, prev_offset} : op0 - {26'b0, prev_offset};
416 if(cur_reg == 4'hF && insn[22]) begin
417 next_outcpsr = spsr;
418 next_outcpsrup = 1;
419 end
420 end
421 `LSM_WBFLUSH:
422 next_write_reg = 1'b0;
423 default: begin end
424 endcase
425 end
426 `DECODE_MRCMCR: if(!inbubble) begin
427 next_write_reg = 1'bx;
428 next_write_num = 4'bxxxx;
429 next_write_data = 32'hxxxxxxxx;
430 next_outcpsr = 32'hxxxxxxxx;
431 next_outcpsrup = 1'bx;
432 if (insn[20] == 1 /* load from coprocessor */)
433 if (insn[15:12] != 4'hF /* Fuck you ARM */) begin
434 next_write_reg = 1'b1;
435 next_write_num = insn[15:12];
436 next_write_data = cp_read;
437 end else begin
438 next_outcpsr = {cp_read[31:28], cpsr[27:0]};
439 next_outcpsrup = 1;
440 end
441 end
442 endcase
443 end
444
445 /* Bus/address control logic. */
446 always @(*)
447 begin
448 rd_req = 1'b0;
449 wr_req = 1'b0;
450 offset = prev_offset;
451 addr = prevaddr;
452 raddr = 32'hxxxxxxxx;
453 busaddr = 32'hxxxxxxxx;
454 data_size = 3'bxxx;
455
456 casez(insn)
457 `DECODE_ALU_SWP: if(!inbubble) begin
458 busaddr = {op0[31:2], 2'b0};
459 data_size = insn[22] ? 3'b001 : 3'b100;
460 case(swp_state)
461 `SWP_READING:
462 rd_req = 1'b1;
463 `SWP_WRITING:
464 wr_req = 1'b1;
465 default: begin end
466 endcase
467 end
468 `DECODE_ALU_MULT: begin end
469 `DECODE_ALU_HDATA_REG,
470 `DECODE_ALU_HDATA_IMM: if(!inbubble) begin
471 addr = insn[23] ? op0 + op1 : op0 - op1; /* up/down select */
472 raddr = insn[24] ? op0 : addr; /* pre/post increment */
473 busaddr = raddr;
474 /* rotate to correct position */
475 case(insn[6:5])
476 2'b01: /* unsigned half */
477 data_size = 3'b010;
478 2'b10: /* signed byte */
479 data_size = 3'b001;
480 2'b11: /* signed half */
481 data_size = 3'b010;
482 default: begin
483 data_size = 3'bxxx;
484 end
485 endcase
486
487 case(lsrh_state)
488 `LSRH_MEMIO: begin
489 rd_req = insn[20];
490 wr_req = ~insn[20];
491 end
492 `LSRH_BASEWB: begin end
493 `LSRH_WBFLUSH: begin end
494 default: begin end
495 endcase
496 end
497 `DECODE_LDRSTR_UNDEFINED: begin end
498 `DECODE_LDRSTR: if(!inbubble) begin
499 addr = insn[23] ? op0 + op1 : op0 - op1; /* up/down select */
500 raddr = insn[24] ? addr : op0; /* pre/post increment */
501 busaddr = raddr;
502 data_size = insn[22] ? 3'b001 : 3'b100;
503 case (lsr_state)
504 `LSR_MEMIO: begin
505 rd_req = insn[20] /* L */ || insn[22] /* B */;
506 wr_req = !insn[20] /* L */ && !insn[22]/* B */;
507 end
508 `LSR_STRB_WR:
509 wr_req = 1;
510 `LSR_BASEWB: begin end
511 `LSR_WBFLUSH: begin end
512 default: begin end
513 endcase
514 end
515 `DECODE_LDMSTM: if (!inbubble) begin
516 data_size = 3'b100;
517 case (lsm_state)
518 `LSM_SETUP:
519 offset = 6'b0;
520 `LSM_MEMIO: begin
521 rd_req = insn[20];
522 wr_req = ~insn[20];
523 offset = prev_offset + 6'h4;
524 offset_sel = insn[24] ? offset : prev_offset;
525 raddr = insn[23] ? op0 + {26'b0, offset_sel} : op0 - {26'b0, offset_sel};
526 busaddr = raddr;
527 end
528 `LSM_BASEWB: begin end
529 `LSM_WBFLUSH: begin end
530 default: begin end
531 endcase
532 end
533 `DECODE_LDCSTC: begin end
534 `DECODE_CDP: begin end
535 `DECODE_MRCMCR: begin end
536 default: begin end
537 endcase
538 end
539
540 /* Bus data control logic. */
541 always @(*)
542 begin
543 wr_data = 32'hxxxxxxxx;
544
545 casez(insn)
546 `DECODE_ALU_SWP: if(!inbubble)
547 if (swp_state == `SWP_WRITING)
548 wr_data = insn[22] ? {4{op1[7:0]}} : op1;
549 `DECODE_ALU_MULT: begin end
550 `DECODE_ALU_HDATA_REG,
551 `DECODE_ALU_HDATA_IMM: if(!inbubble)
552 case(insn[6:5])
553 2'b01: /* unsigned half */
554 wr_data = {2{op2[15:0]}}; /* XXX need to store halfword */
555 2'b10: /* signed byte */
556 wr_data = {4{op2[7:0]}};
557 2'b11: /* signed half */
558 wr_data = {2{op2[15:0]}};
559 default: begin end
560 endcase
561 `DECODE_LDRSTR_UNDEFINED: begin end
562 `DECODE_LDRSTR: if(!inbubble) begin
563 wr_data = insn[22] ? {24'h0, {op2[7:0]}} : op2;
564 if (lsr_state == `LSR_STRB_WR)
565 case (busaddr[1:0])
566 2'b00: wr_data = {rd_data_latch[31:8], op2[7:0]};
567 2'b01: wr_data = {rd_data_latch[31:16], op2[7:0], rd_data_latch[7:0]};
568 2'b10: wr_data = {rd_data_latch[31:24], op2[7:0], rd_data_latch[15:0]};
569 2'b11: wr_data = {op2[7:0], rd_data_latch[23:0]};
570 endcase
571 end
572 `DECODE_LDMSTM: if (!inbubble)
573 if (lsm_state == `LSM_MEMIO)
574 wr_data = (cur_reg == 4'hF) ? (pc + 12) : st_data;
575 `DECODE_LDCSTC: begin end
576 `DECODE_CDP: begin end
577 `DECODE_MRCMCR: begin end
578 default: begin end
579 endcase
580 end
581
582 /* LDM/STM register control logic. */
583 always @(posedge clk)
584 if (!rw_wait || lsm_state != `LSM_MEMIO)
585 begin
586 prev_reg <= cur_reg;
587 regs <= next_regs;
588 end
589
590 always @(*)
591 begin
592 st_read = 4'hx;
593 offset = prev_offset;
594 cur_reg = prev_reg;
595 next_regs = regs;
596
597 casez(insn)
598 `DECODE_LDMSTM: if(!inbubble) begin
599 case(lsm_state)
600 `LSM_SETUP:
601 next_regs = insn[23] /* U */ ? op1[15:0] : {op1[0], op1[1], op1[2], op1[3], op1[4], op1[5], op1[6], op1[7],
602 op1[8], op1[9], op1[10], op1[11], op1[12], op1[13], op1[14], op1[15]};
603 `LSM_MEMIO: begin
604 casez(regs)
605 16'b???????????????1: begin
606 cur_reg = 4'h0;
607 next_regs = {regs[15:1], 1'b0};
608 end
609 16'b??????????????10: begin
610 cur_reg = 4'h1;
611 next_regs = {regs[15:2], 2'b0};
612 end
613 16'b?????????????100: begin
614 cur_reg = 4'h2;
615 next_regs = {regs[15:3], 3'b0};
616 end
617 16'b????????????1000: begin
618 cur_reg = 4'h3;
619 next_regs = {regs[15:4], 4'b0};
620 end
621 16'b???????????10000: begin
622 cur_reg = 4'h4;
623 next_regs = {regs[15:5], 5'b0};
624 end
625 16'b??????????100000: begin
626 cur_reg = 4'h5;
627 next_regs = {regs[15:6], 6'b0};
628 end
629 16'b?????????1000000: begin
630 cur_reg = 4'h6;
631 next_regs = {regs[15:7], 7'b0};
632 end
633 16'b????????10000000: begin
634 cur_reg = 4'h7;
635 next_regs = {regs[15:8], 8'b0};
636 end
637 16'b???????100000000: begin
638 cur_reg = 4'h8;
639 next_regs = {regs[15:9], 9'b0};
640 end
641 16'b??????1000000000: begin
642 cur_reg = 4'h9;
643 next_regs = {regs[15:10], 10'b0};
644 end
645 16'b?????10000000000: begin
646 cur_reg = 4'hA;
647 next_regs = {regs[15:11], 11'b0};
648 end
649 16'b????100000000000: begin
650 cur_reg = 4'hB;
651 next_regs = {regs[15:12], 12'b0};
652 end
653 16'b???1000000000000: begin
654 cur_reg = 4'hC;
655 next_regs = {regs[15:13], 13'b0};
656 end
657 16'b??10000000000000: begin
658 cur_reg = 4'hD;
659 next_regs = {regs[15:14], 14'b0};
660 end
661 16'b?100000000000000: begin
662 cur_reg = 4'hE;
663 next_regs = {regs[15], 15'b0};
664 end
665 16'b1000000000000000: begin
666 cur_reg = 4'hF;
667 next_regs = 16'b0;
668 end
669 default: begin
670 cur_reg = 4'hx;
671 next_regs = 16'b0;
672 end
673 endcase
674 cur_reg = insn[23] ? cur_reg : 4'hF - cur_reg;
675
676 st_read = cur_reg;
677 end
678 `LSM_BASEWB: begin end
679 `LSM_WBFLUSH: begin end
680 default: begin end
681 endcase
682 end
683 endcase
684 end
685
686 always @(*)
687 begin
688 do_rd_data_latch = 0;
689
690 next_outbubble = inbubble;
691
692 lsrh_rddata = 32'hxxxxxxxx;
693 lsrh_rddata_s1 = 16'hxxxx;
694 lsrh_rddata_s2 = 8'hxx;
695 next_swp_oldval = swp_oldval;
696
697 /* XXX shit not given about endianness */
698 casez(insn)
699 `DECODE_ALU_SWP: if(!inbubble) begin
700 next_outbubble = rw_wait;
701 case(swp_state)
702 `SWP_READING:
703 if(!rw_wait)
704 next_swp_oldval = rd_data;
705 `SWP_WRITING: begin end
706 default: begin end
707 endcase
708 end
709 `DECODE_ALU_MULT: begin end
710 `DECODE_ALU_HDATA_REG,
711 `DECODE_ALU_HDATA_IMM: if(!inbubble) begin
712 next_outbubble = rw_wait;
713
714 /* rotate to correct position */
715 case(insn[6:5])
716 2'b01: begin /* unsigned half */
717 lsrh_rddata = {16'b0, raddr[1] ? rd_data[31:16] : rd_data[15:0]};
718 end
719 2'b10: begin /* signed byte */
720 lsrh_rddata_s1 = raddr[1] ? rd_data[31:16] : rd_data[15:0];
721 lsrh_rddata_s2 = raddr[0] ? lsrh_rddata_s1[15:8] : lsrh_rddata_s1[7:0];
722 lsrh_rddata = {{24{lsrh_rddata_s2[7]}}, lsrh_rddata_s2};
723 end
724 2'b11: begin /* signed half */
725 lsrh_rddata = raddr[1] ? {{16{rd_data[31]}}, rd_data[31:16]} : {{16{rd_data[15]}}, rd_data[15:0]};
726 end
727 default: begin
728 lsrh_rddata = 32'hxxxxxxxx;
729 end
730 endcase
731
732 case(lsrh_state)
733 `LSRH_MEMIO: begin end
734 `LSRH_BASEWB:
735 next_outbubble = 1'b0;
736 `LSRH_WBFLUSH: begin end
737 default: begin end
738 endcase
739 end
740 `DECODE_LDRSTR_UNDEFINED: begin end
741 `DECODE_LDRSTR: if(!inbubble) begin
742 next_outbubble = rw_wait;
743 /* rotate to correct position */
744 align_s1 = raddr[1] ? {rd_data[15:0], rd_data[31:16]} : rd_data;
745 align_s2 = raddr[0] ? {align_s1[7:0], align_s1[31:8]} : align_s1;
746 /* select byte or word */
747 align_rddata = insn[22] ? {24'b0, align_s2[7:0]} : align_s2;
748 case(lsr_state)
749 `LSR_MEMIO:
750 if (insn[22] /* B */ && !insn[20] /* L */)
751 do_rd_data_latch = 1;
752 `LSR_STRB_WR: begin end
753 `LSR_BASEWB:
754 next_outbubble = 0;
755 `LSR_WBFLUSH: begin end
756 default: begin end
757 endcase
758 end
759 /* XXX ldm/stm incorrect in that stupid case where one of the listed regs is the base reg */
760 `DECODE_LDMSTM: if(!inbubble) begin
761 next_outbubble = rw_wait;
762 case(lsm_state)
763 `LSM_SETUP: begin end
764 `LSM_MEMIO: begin end
765 `LSM_BASEWB:
766 next_outbubble = 0;
767 `LSM_WBFLUSH: begin end
768 default: $stop;
769 endcase
770 end
771 `DECODE_LDCSTC: begin end
772 `DECODE_CDP: if(!inbubble) begin
773 if (cp_busy) begin
774 next_outbubble = 1;
775 end
776 end
777 `DECODE_MRCMCR: if(!inbubble) begin
778 if (cp_busy) begin
779 next_outbubble = 1;
780 end
781 end
782 default: begin end
783 endcase
784
785 if ((flush || delayedflush) && !outstall)
786 next_outbubble = 1'b1;
787 end
788endmodule
This page took 0.030602 seconds and 4 git commands to generate.