]> Joshua Wise's Git repositories - firearm.git/blame_incremental - system.v
Writeback: add
[firearm.git] / system.v
... / ...
CommitLineData
1`define BUS_ICACHE 0
2`define BUS_DCACHE 1
3
4module System(input clk);
5 wire [7:0] bus_req;
6 wire [7:0] bus_ack;
7 wire [31:0] bus_addr;
8 wire [31:0] bus_rdata;
9 wire [31:0] bus_wdata;
10 wire bus_rd, bus_wr;
11 wire bus_ready;
12
13 wire bus_req_icache;
14 wire bus_req_dcache;
15 assign bus_req = {6'b0, bus_req_dcache, bus_req_icache};
16 wire bus_ack_icache = bus_ack[`BUS_ICACHE];
17 wire bus_ack_dcache = bus_ack[`BUS_DCACHE];
18
19 wire [31:0] bus_addr_icache;
20 wire [31:0] bus_wdata_icache;
21 wire bus_rd_icache;
22 wire bus_wr_icache;
23
24 wire [31:0] bus_addr_dcache;
25 wire [31:0] bus_wdata_dcache;
26 wire bus_rd_dcache;
27 wire bus_wr_dcache;
28
29 wire [31:0] bus_rdata_blockram;
30 wire bus_ready_blockram;
31
32 assign bus_addr = bus_addr_icache | bus_addr_dcache;
33 assign bus_rdata = bus_rdata_blockram;
34 assign bus_wdata = bus_wdata_icache | bus_wdata_dcache;
35 assign bus_rd = bus_rd_icache | bus_rd_dcache;
36 assign bus_wr = bus_wr_icache | bus_wr_dcache;
37 assign bus_ready = bus_ready_blockram;
38
39 wire [31:0] icache_rd_addr;
40 wire icache_rd_req;
41 wire icache_rd_wait;
42 wire [31:0] icache_rd_data;
43
44 wire [31:0] dcache_addr;
45 wire dcache_rd_req, dcache_wr_req;
46 wire dcache_rw_wait;
47 wire [31:0] dcache_wr_data, dcache_rd_data;
48
49 wire [31:0] decode_out_op0, decode_out_op1, decode_out_op2, decode_out_spsr;
50 wire decode_out_carry;
51
52 wire [3:0] regfile_read_0, regfile_read_1, regfile_read_2, regfile_read_3;
53 wire [31:0] regfile_rdata_0, regfile_rdata_1, regfile_rdata_2, regfile_rdata_3, regfile_spsr;
54
55 wire execute_out_write_reg;
56 wire [3:0] execute_out_write_num;
57 wire [31:0] execute_out_write_data;
58 wire [31:0] execute_out_op0, execute_out_op1, execute_out_op2;
59 wire [31:0] execute_out_cpsr, execute_out_spsr;
60 wire [31:0] jmppc;
61 wire jmp;
62
63 wire memory_out_write_reg;
64 wire [3:0] memory_out_write_num;
65 wire [31:0] memory_out_write_data;
66
67 wire cp_ack_terminal;
68 wire cp_busy_terminal;
69 wire [31:0] cp_read_terminal;
70
71 wire cp_req;
72 wire [31:0] cp_insn;
73 wire cp_ack = cp_ack_terminal;
74 wire cp_busy = cp_busy_terminal;
75 wire cp_rnw;
76 wire [31:0] cp_read = cp_read_terminal;
77 wire [31:0] cp_write;
78
79 wire stall_cause_issue;
80 wire stall_cause_execute;
81 wire stall_cause_memory;
82 wire bubble_out_fetch;
83 wire bubble_out_issue;
84 wire bubble_out_execute;
85 wire bubble_out_memory;
86 wire [31:0] insn_out_fetch;
87 wire [31:0] insn_out_issue;
88 wire [31:0] insn_out_execute;
89 wire [31:0] insn_out_memory;
90 wire [31:0] pc_out_fetch;
91 wire [31:0] pc_out_issue;
92 wire [31:0] pc_out_execute;
93 wire [31:0] pc_out_memory;
94
95 wire execute_out_backflush;
96
97 BusArbiter busarbiter(.bus_req(bus_req), .bus_ack(bus_ack));
98
99 ICache icache(
100 .clk(clk),
101 /* XXX reset? */
102 .rd_addr(icache_rd_addr), .rd_req(icache_rd_req),
103 .rd_wait(icache_rd_wait), .rd_data(icache_rd_data),
104 .bus_req(bus_req_icache), .bus_ack(bus_ack_icache),
105 .bus_addr(bus_addr_icache), .bus_rdata(bus_rdata),
106 .bus_wdata(bus_wdata_icache), .bus_rd(bus_rd_icache),
107 .bus_wr(bus_wr_icache), .bus_ready(bus_ready));
108
109 DCache dcache(
110 .clk(clk),
111 .addr(dcache_addr), .rd_req(dcache_rd_req), .wr_req(dcache_wr_req),
112 .rw_wait(dcache_rw_wait), .wr_data(dcache_wr_data), .rd_data(dcache_rd_data),
113 .bus_req(bus_req_dcache), .bus_ack(bus_ack_dcache),
114 .bus_addr(bus_addr_dcache), .bus_rdata(bus_rdata),
115 .bus_wdata(bus_wdata_dcache), .bus_rd(bus_rd_dcache),
116 .bus_wr(bus_wr_dcache), .bus_ready(bus_ready));
117
118 BlockRAM blockram(
119 .clk(clk),
120 .bus_addr(bus_addr), .bus_rdata(bus_rdata_blockram),
121 .bus_wdata(bus_wdata), .bus_rd(bus_rd), .bus_wr(bus_wr),
122 .bus_ready(bus_ready_blockram));
123
124 Fetch fetch(
125 .clk(clk),
126 .Nrst(1'b1 /* XXX */),
127 .rd_addr(icache_rd_addr), .rd_req(icache_rd_req),
128 .rd_wait(icache_rd_wait), .rd_data(icache_rd_data),
129 .stall(stall_cause_issue), .jmp(jmp), .jmppc(jmppc),
130 .bubble(bubble_out_fetch), .insn(insn_out_fetch),
131 .pc(pc_out_fetch));
132
133 Issue issue(
134 .clk(clk),
135 .Nrst(1'b1 /* XXX */),
136 .stall(stall_cause_execute), .flush(execute_out_backflush),
137 .inbubble(bubble_out_fetch), .insn(insn_out_fetch),
138 .inpc(pc_out_fetch), .cpsr(32'b0 /* XXX */),
139 .outstall(stall_cause_issue), .outbubble(bubble_out_issue),
140 .outpc(pc_out_issue), .outinsn(insn_out_issue));
141
142 RegFile regfile(
143 .clk(clk),
144 .read_0(regfile_read_0), .read_1(regfile_read_1), .read_2(regfile_read_2), .read_2(regfile_read_3),
145 .rdata_0(regfile_rdata_0), .rdata_1(regfile_rdata_1), .rdata_2(regfile_rdata_2), .rdata_2(regfile_rdata_3),
146 .spsr(regfile_spsr), .write(4'b0), .write_req(1'b0), .write_data(10 /* XXX */));
147
148 Decode decode(
149 .clk(clk),
150 .insn(insn_out_fetch), .inpc(pc_out_fetch), .incpsr(32'b0 /* XXX */), .inspsr(regfile_spsr),
151 .op0(decode_out_op0), .op1(decode_out_op1), .op2(decode_out_op2),
152 .carry(decode_out_carry), .outspsr(decode_out_spsr),
153 .read_0(regfile_read_0), .read_1(regfile_read_1), .read_2(regfile_read_2),
154 .rdata_0(regfile_rdata_0), .rdata_1(regfile_rdata_1), .rdata_2(regfile_rdata_2));
155
156 Execute execute(
157 .clk(clk), .Nrst(1'b0),
158 .stall(stall_cause_memory), .flush(1'b0),
159 .inbubble(bubble_out_issue), .pc(pc_out_issue), .insn(insn_out_issue),
160 .cpsr(32'b0 /* XXX */), .spsr(decode_out_spsr), .op0(decode_out_op0), .op1(decode_out_op1),
161 .op2(decode_out_op2), .carry(decode_out_carry),
162 .outstall(stall_cause_execute), .outbubble(bubble_out_execute),
163 .write_reg(execute_out_write_reg), .write_num(execute_out_write_num),
164 .write_data(execute_out_write_data),
165 .jmp(jmp), .jmppc(jmppc),
166 .outpc(pc_out_execute), .outinsn(insn_out_execute),
167 .outop0(execute_out_op0), .outop1(execute_out_op1), .outop2(execute_out_op2),
168 .outcpsr(execute_out_cpsr), .outspsr(execute_out_spsr));
169 assign execute_out_backflush = jmp;
170
171 assign cp_insn = insn_out_execute;
172 Memory memory(
173 .clk(clk), .Nrst(1'b0),
174 /* stall? flush? */
175 .busaddr(dcache_addr), .rd_req(dcache_rd_req), .wr_req(dcache_wr_req),
176 .rw_wait(dcache_rw_wait), .wr_data(dcache_wr_data), .rd_data(dcache_rd_data),
177 .st_read(regfile_read_3), .st_data(regfile_rdata_3),
178 .inbubble(bubble_out_execute), .pc(pc_out_execute), .insn(insn_out_execute),
179 .op0(execute_out_op0), .op1(execute_out_op1), .op2(execute_out_op2),
180 .spsr(execute_out_spsr), .cpsr(execute_out_cpsr),
181 .write_reg(execute_out_write_reg), .write_num(execute_out_write_num), .write_data(execute_out_write_data),
182 .outstall(stall_cause_memory), .outbubble(bubble_out_memory),
183 .outpc(pc_out_memory), .outinsn(insn_out_memory),
184 .out_write_reg(memory_out_write_reg), .out_write_num(memory_out_write_num),
185 .out_write_data(memory_out_write_data),
186 .cp_req(cp_req), .cp_ack(cp_ack), .cp_busy(cp_busy), .cp_rnw(cp_rnw), .cp_read(cp_read), .cp_write(cp_write));
187
188 Terminal terminal(
189 .clk(clk),
190 .cp_req(cp_req), .cp_insn(cp_insn), .cp_ack(cp_ack_terminal), .cp_busy(cp_busy_terminal), .cp_rnw(cp_rnw),
191 .cp_read(cp_read_terminal), .cp_write(cp_write));
192
193 reg [31:0] clockno = 0;
194 always @(posedge clk)
195 begin
196 clockno <= clockno + 1;
197 $display("------------------------------------------------------------------------------");
198 $display("%3d: FETCH: Bubble: %d, Instruction: %08x, PC: %08x", clockno, bubble_out_fetch, insn_out_fetch, pc_out_fetch);
199 $display("%3d: ISSUE: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x", clockno, stall_cause_issue, bubble_out_issue, insn_out_issue, pc_out_issue);
200 $display("%3d: DECODE: op1 %08x, op2 %08x, op3 %08x, carry %d", clockno, decode_out_op0, decode_out_op1, decode_out_op2, decode_out_carry);
201 $display("%3d: EXEC: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x, Reg: %d, [%08x -> %d], Jmp: %d [%08x]", clockno, stall_cause_execute, bubble_out_execute, insn_out_execute, pc_out_execute, execute_out_write_reg, execute_out_write_data, execute_out_write_num, jmp, jmppc);
202 $display("%3d: MEMORY: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x, Reg: %d, [%08x -> %d]", clockno, stall_cause_memory, bubble_out_memory, insn_out_memory, pc_out_memory, memory_out_write_reg, memory_out_write_data, memory_out_write_num);
203 end
204endmodule
This page took 0.024931 seconds and 4 git commands to generate.