]> Joshua Wise's Git repositories - firearm.git/blame_incremental - .gitattributes
Makefile: Add 'auto' target to verilog-modeify.
[firearm.git] / .gitattributes
... / ...
CommitLineData
1*.hex -crlf -diff -merge
This page took 0.022155 seconds and 4 git commands to generate.