]> Joshua Wise's Git repositories - firearm.git/commit
Makefile: Add 'auto' target to verilog-modeify.
authorJoshua Wise <joshua@escape.joshuawise.com>
Mon, 22 Feb 2010 03:26:54 +0000 (22:26 -0500)
committerJoshua Wise <joshua@escape.joshuawise.com>
Mon, 22 Feb 2010 03:26:54 +0000 (22:26 -0500)
commit056fa141e0cb4366a264458bb8b927126ef85aeb
treea3797353455b8414bd5e4cff186c25b8a0a03626
parent6d8250a2274c30477c543fa7279b9f3052afcca2
Makefile: Add 'auto' target to verilog-modeify.
Makefile
This page took 0.0279 seconds and 5 git commands to generate.