reg bootstrap_enb;
- wire bus = ((busaddress[15:8] == 8'h00) && bootstrap_enb) || ((busaddress[15:7] == 9'b111111111) && (busaddress != 16'hFFFF)); /* 0 or 1 depending on which bus */
+ wire bus = ((busaddress[15:8] == 8'h00) && bootstrap_enb) || ((busaddress[15:7] == 9'b111111111) && (busaddress != 16'hFFFF)) /* 0 or 1 depending on which bus */
+ `ifdef isim
+ || (busaddress === 16'hxxxx) /* To avoid simulator glomulation. */
+ `endif
+ ;
assign bus0address = (bus == 0) ? busaddress : 16'bzzzzzzzzzzzzzzz;
assign bus1address = (bus == 1) ? busaddress : 16'bzzzzzzzzzzzzzzz;
assign bus0data = ((bus == 0) && buswr) ? buswdata : 8'bzzzzzzzz;
assign bus1data = ((bus == 1) && buswr) ? buswdata : 8'bzzzzzzzz;
assign busdata = (bus == 0) ? bus0data : bus1data;
- assign bus0rd = (bus == 0) ? busrd : 1'bz;
- assign bus1rd = (bus == 1) ? busrd : 1'bz;
- assign bus0wr = (bus == 0) ? buswr : 1'bz;
- assign bus1wr = (bus == 1) ? buswr : 1'bz;
+ assign bus0rd = (bus == 0) ? busrd : 1'b0;
+ assign bus1rd = (bus == 1) ? busrd : 1'b0;
+ assign bus0wr = (bus == 0) ? buswr : 1'b0;
+ assign bus1wr = (bus == 1) ? buswr : 1'b0;
reg ie, iedelay;
end
end
`STATE_DECODE: begin /* Make sure this only happens for one clock. */
+ buswr <= 0;
+ busrd <= 0;
end
endcase
rdata <= busdata;
cycle <= 0;
end else begin
- if (rd) rdata <= busdata;
+ if (rd) rdata <= busdata; /* Still valid because peripherals are now expected to keep it held valid. */
cycle <= cycle + 1;
end
if (iedelay) begin
state <= `STATE_EXECUTE;
end
`STATE_EXECUTE: begin
+ if (opcode[7:0] === 8'bxxxxxxxx)
+ $stop;
casex (opcode)
`define EXECUTE
`include "allinsns.v"
input wr, rd);
reg rdlatch = 0;
+ reg [7:0] addrlatch = 0;
reg [7:0] brom [255:0];
initial $readmemh("bootstrap.hex", brom);
wire decode = address[15:8] == 0;
- wire [7:0] odata = brom[address[7:0]];
- always @(posedge clk)
+ wire [7:0] odata = brom[addrlatch];
+ always @(posedge clk) begin
rdlatch <= rd && decode;
+ addrlatch <= address[7:0];
+ end
assign data = rdlatch ? odata : 8'bzzzzzzzz;
endmodule