]> Joshua Wise's Git repositories - fpgaboy.git/blobdiff - System.v
Add inc16 test, and inc16 and dec16.
[fpgaboy.git] / System.v
index 8fc4c9ca7d5ac8ed490cf86e6cb7214af0dd8046..8bc14e9df01a67155742346789f38a6f3bacb76c 100644 (file)
--- a/System.v
+++ b/System.v
@@ -21,19 +21,22 @@ module InternalRAM(
        input clk,
        input wr, rd);
        
+       // synthesis attribute ram_style of reg is block
        reg [7:0] ram [8191:0];
        
-       wire decode = ({0,address} >= 17'hC000) && ({0,address} < 17'hFE00);
+       wire decode = address[15:13] == 3'b110;
        reg [7:0] odata;
        wire idata = data;
        assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
        
        always @(negedge clk)
        begin
-               if (decode && rd)
+               if (decode)     // This has to go this way. The only way XST knows how to do
+               begin                           // block ram is chip select, write enable, and always
+                       if (wr)         // reading. "else if rd" does not cut it ...
+                               ram[address[12:0]] <= data;
                        odata <= ram[address[12:0]];
-               else if (decode && wr)
-                       ram[address[12:0]] <= data;
+               end
        end
 endmodule
 
@@ -61,6 +64,7 @@ endmodule
 module CoreTop(
        input xtal,
        input [7:0] switches,
+       input [3:0] buttons,
        output wire [7:0] leds,
        output serio,
        output wire [3:0] digits,
@@ -93,7 +97,8 @@ module CoreTop(
     .addr(addr), 
     .clk(clk), 
     .digit(digits), 
-    .out(seven)
+    .out(seven),
+        .freeze(buttons[0])
     );
         
        Switches sw(
This page took 0.021704 seconds and 4 git commands to generate.