]> Joshua Wise's Git repositories - fpgaboy.git/blobdiff - LCDC.v
Some LCDC IRQ stuffs. Working on fixing ldm_a
[fpgaboy.git] / LCDC.v
diff --git a/LCDC.v b/LCDC.v
index 41b5500a1d4c9a480f016ba098e5d2a66e2d796c..622094aadd0e281f1c4ccaadd3584ee307733e5c 100644 (file)
--- a/LCDC.v
+++ b/LCDC.v
@@ -16,13 +16,29 @@ module LCDC(
        inout [7:0] data,
        input clk,      // 8MHz clock
        input wr, rd,
        inout [7:0] data,
        input clk,      // 8MHz clock
        input wr, rd,
-       output reg irq = 0);
+       output wire lcdcirq,
+       output wire vblankirq,
+       output wire vgavs, vgahs,
+       output wire [2:0] vgar, vgag, output wire [1:0] vgab);
        
        /***** Internal clock that is stable and does not depend on CPU in single/double clock mode *****/
        reg clk4 = 0;
        always @(posedge clk)
                clk4 = ~clk4;
        
        
        /***** Internal clock that is stable and does not depend on CPU in single/double clock mode *****/
        reg clk4 = 0;
        always @(posedge clk)
                clk4 = ~clk4;
        
+       /***** LCD control registers *****/
+       reg [7:0] rLCDC = 8'h91;
+       reg [7:0] rSTAT = 8'h00;
+       reg [7:0] rSCY = 8'b00;
+       reg [7:0] rSCX = 8'b00;
+       reg [7:0] rLYC = 8'b00;
+       reg [7:0] rDMA = 8'b00;
+       reg [7:0] rBGP = 8'b00;
+       reg [7:0] rOBP0 = 8'b00;
+       reg [7:0] rOBP1 = 8'b00;
+       reg [7:0] rWY = 8'b00;
+       reg [7:0] rWX = 8'b00;
+       
        /***** Sync generation *****/
        
        /* A complete cycle takes 456 clocks.
        /***** Sync generation *****/
        
        /* A complete cycle takes 456 clocks.
@@ -44,31 +60,51 @@ module LCDC(
                                 2'b10)
                                : 2'b01;
        
                                 2'b10)
                                : 2'b01;
        
-       always @(posedge clk)
+       assign vgavs = (posy > 147) && (posy < 151);
+       assign vgahs = (posx < 250) && (posx < 350);
+       assign vgar = (posx < 160) && (posy < 144) ? {posy == rLYC ? 3'b111 : 3'b000} : 3'b000;
+       assign vgag = (posx < 160) && (posy < 144) ? {posy < rSCY ? 3'b111 : 3'b000} : 3'b000;
+       assign vgab = (posx < 160) && (posy < 144) ? {2'b11} : 2'b00;
+       
+       reg mode00irq = 0, mode01irq = 0, mode10irq = 0, lycirq = 0;
+       assign lcdcirq = (rSTAT[3] & mode00irq) | (rSTAT[4] & mode01irq) | (rSTAT[5] & mode10irq) | (rSTAT[6] & lycirq);
+       assign vblankirq = (posx == 0 && posy == 153);
+       
+       always @(posedge clk4)
        begin
                if (posx == 455) begin
                        posx <= 0;
        begin
                if (posx == 455) begin
                        posx <= 0;
-                       if (posy == 153)
+                       if (posy == 153) begin
                                posy <= 0;
                                posy <= 0;
-                       else
+                               if (0 == rLYC)
+                                       lycirq <= 1;
+                       end else begin
                                posy <= posy + 1;
                                posy <= posy + 1;
-               end else
+                               /* Check for vblank and generate an IRQ if needed. */
+                               if (posy == 143) begin 
+                                       mode01irq <= 1;
+                               end
+                               if ((posy + 1) == rLYC)
+                                       lycirq <= 1;
+                               
+                       end
+               end else begin
                        posx <= posx + 1;
                        posx <= posx + 1;
+                       if (posx == 165)
+                               mode00irq <= 1;
+                       else if (posx == 373)
+                               mode10irq <= 1;
+                       else begin
+                               mode00irq <= 0;
+                               mode01irq <= 0;
+                               mode10irq <= 0;
+                       end
+                       lycirq <= 0;
+               end
+               
        end
   
        /***** Bus interface *****/
        end
   
        /***** Bus interface *****/
-       reg [7:0] rLCDC = 8'h91;
-       reg [7:0] rSTAT = 8'h00;
-       reg [7:0] rSCY = 8'b00;
-       reg [7:0] rSCX = 8'b00;
-       reg [7:0] rLYC = 8'b00;
-       reg [7:0] rDMA = 8'b00;
-       reg [7:0] rBGP = 8'b00;
-       reg [7:0] rOBP0 = 8'b00;
-       reg [7:0] rOBP1 = 8'b00;
-       reg [7:0] rWY = 8'b00;
-       reg [7:0] rWX = 8'b00;
-       
        assign data = rd ?
                        (addr == `ADDR_LCDC) ? rLCDC :
                        (addr == `ADDR_STAT) ? {rSTAT[7:3], (rLYC == posy) ? 1'b1 : 1'b0, mode} :
        assign data = rd ?
                        (addr == `ADDR_LCDC) ? rLCDC :
                        (addr == `ADDR_STAT) ? {rSTAT[7:3], (rLYC == posy) ? 1'b1 : 1'b0, mode} :
This page took 0.026561 seconds and 4 git commands to generate.