]> Joshua Wise's Git repositories - firearm.git/blobdiff - Decode.v
Return decode to a state of cleanliness.
[firearm.git] / Decode.v
index f38ca0d814461fd33986f22d799b513955be1dfd..42ae993020203e08b3b908acbdddc40930bab1bd 100644 (file)
--- a/Decode.v
+++ b/Decode.v
@@ -4,36 +4,37 @@ module Decode(
        input clk,
        input [31:0] insn,
        input [31:0] inpc,
-       input [31:0] cps_in,
+       input [31:0] incpsr,
        output reg [31:0] op0,
        output reg [31:0] op1,
        output reg [31:0] op2,
-       output reg [31:0] cps_out,
+       output reg carry,
 
-       output [3:0] regsel0,
-       output [3:0] regsel1,
-       output [3:0] regsel2,
-       input [31:0] iregs0,
-       input [31:0] iregs1,
-       input [31:0] iregs2
+       output [3:0] read_0,
+       output [3:0] read_1,
+       output [3:0] read_2,
+       input [31:0] rdata_0,
+       input [31:0] rdata_1,
+       input [31:0] rdata_2
        );
 
        wire [31:0] regs0, regs1, regs2, rpc;
-       wire [31:0] op1_res, new_cps;
+       wire [31:0] op0_out, op1_out, op2_out;
+       wire carry_out;
 
        /* shifter stuff */
        wire [31:0] shift_oper;
        wire [31:0] shift_res;
        wire shift_cflag_out;
 
-       assign regs0 = (regsel0 == 4'b1111) ? rpc : iregs0;
-       assign regs1 = (regsel1 == 4'b1111) ? rpc : iregs1;
-       assign regs2 = iregs2; /* use regs2 for things that cannot be r15 */
+       assign regs0 = (read_0 == 4'b1111) ? rpc : rdata_0;
+       assign regs1 = (read_1 == 4'b1111) ? rpc : rdata_1;
+       assign regs2 = rdata_2; /* use regs2 for things that cannot be r15 */
 
        IHATEARMSHIFT blowme(.insn(insn),
                             .operand(regs1),
                             .reg_amt(regs2),
-                            .cflag_in(cps_in[`CPSR_C]),
+                            .cflag_in(incpsr[`CPSR_C]),
                             .res(shift_res),
                             .cflag_out(shift_cflag_out));
        
@@ -45,7 +46,7 @@ module Decode(
                32'b????00010?101001111100000000????,   /* MSR (Transfer register to PSR) */
                32'b????00?10?1010001111????????????,   /* MSR (Transfer register or immediate to PSR, flag bits only) */
                32'b????00010?00????????00001001????,   /* Atomic swap */
-               32'b????000100101111111111110001????,   /* Branch */
+               32'b????000100101111111111110001????,   /* Branch and exchange */
                32'b????000??0??????????00001??1????,   /* Halfword transfer - register offset */
                32'b????000??1??????????00001??1????,   /* Halfword transfer - register offset */
                32'b????011????????????????????1????,   /* Undefined. I hate ARM */
@@ -63,113 +64,164 @@ module Decode(
                        rpc = 32'hxxxxxxxx;
                endcase
 
-       always @ (*) begin
+       always @(*) begin
+               read_0 = 4'hx;
+               read_1 = 4'hx;
+               read_2 = 4'hx;
+               
+               casez (insn)
+               32'b????000000??????????????1001????:   /* Multiply -- must come before ALU, because it pattern matches a specific case of ALU */
+               begin
+                       read_0 = insn[15:12]; /* Rn */
+                       read_1 = insn[3:0];   /* Rm */
+                       read_2 = insn[11:8];  /* Rs */
+               end
+//             32'b????00001???????????????1001????,   /* Multiply long */
+//                     read_0 = insn[11:8]; /* Rn */
+//                     read_1 = insn[3:0];   /* Rm */
+//                     read_2 = 4'b0;       /* anyus */
+               32'b????00010?001111????000000000000:   /* MRS (Transfer PSR to register) */
+               begin end
+               32'b????00010?101001111100000000????,   /* MSR (Transfer register to PSR) */
+               32'b????00?10?1010001111????????????:   /* MSR (Transfer register or immediate to PSR, flag bits only) */
+                       read_0 = insn[3:0];     /* Rm */
+               32'b????00??????????????????????????:   /* ALU */
+               begin
+                       read_0 = insn[19:16]; /* Rn */
+                       read_1 = insn[3:0];   /* Rm */
+                       read_2 = insn[11:8];  /* Rs for shift */
+               end
+               32'b????00010?00????????00001001????:   /* Atomic swap */
+               begin
+                       read_0 = insn[19:16]; /* Rn */
+                       read_1 = insn[3:0];   /* Rm */
+               end
+               32'b????000100101111111111110001????:   /* Branch and exchange */
+                       read_0 = insn[3:0];   /* Rn */
+               32'b????000??0??????????00001??1????:   /* Halfword transfer - register offset */
+               begin
+                       read_0 = insn[19:16];
+                       read_1 = insn[3:0];
+               end
+               32'b????000??1??????????00001??1????:   /* Halfword transfer - immediate offset */
+               begin
+                       read_0 = insn[19:16];
+               end
+               32'b????011????????????????????1????:   /* Undefined. I hate ARM */
+               begin end
+               32'b????01??????????????????????????:   /* Single data transfer */
+               begin
+                       read_0 = insn[19:16]; /* Rn */
+                       read_1 = insn[3:0];   /* Rm */
+               end
+               32'b????100?????????????????????????:   /* Block data transfer */
+                       read_0 = insn[19:16];
+               32'b????101?????????????????????????:   /* Branch */
+               begin end
+               32'b????110?????????????????????????:   /* Coprocessor data transfer */
+                       read_0 = insn[19:16];
+               32'b????1110???????????????????0????:   /* Coprocessor data op */
+               begin end
+               32'b????1110???????????????????1????:   /* Coprocessor register transfer */
+                       read_0 = insn[15:12];
+               32'b????1111????????????????????????:   /* SWI */
+               begin end
+               default:
+                       $display("Undecoded instruction");
+               endcase
+       end
+       
+       always @(*) begin
+               op0_out = 32'hxxxxxxxx;
+               op1_out = 32'hxxxxxxxx;
+               op2_out = 32'hxxxxxxxx;
+               carry_out = 1'bx;
                casez (insn)
                32'b????000000??????????????1001????: begin /* Multiply */
-                       regsel0 = insn[15:12]; /* Rn */
-                       regsel1 = insn[3:0];   /* Rm */
-                       regsel2 = insn[11:8];  /* Rs */
-                       op1_res = regs1;
-                       new_cps = cps_in;
-               end
-/*
-               32'b????00001???????????????1001????: begin * Multiply long *
-                       regsel0 = insn[11:8]; * Rn *
-                       regsel1 = insn[3:0];  * Rm *
-                       regsel2 = 4'b0;       * anyus *
-                       op1_res = regs1;
-               end
-*/
+                       op0_out = regs0;
+                       op1_out = regs1;
+                       op2_out = regs2;
+               end
+//             32'b????00001???????????????1001????: begin /* Multiply long */
+//                     op1_res = regs1;
+//             end
                32'b????00010?001111????000000000000: begin /* MRS (Transfer PSR to register) */
-                       new_cps = cps_in;
                end
                32'b????00010?101001111100000000????: begin /* MSR (Transfer register to PSR) */
-                       new_cps = cps_in;
+                       op0_out = regs0;
                end
-                32'b????00?10?1010001111????????????: begin /* MSR (Transfer register or immediate to PSR, flag bits onry) */
-                       new_cps = cps_in;
+                32'b????00?10?1010001111????????????: begin /* MSR (Transfer register or immediate to PSR, flag bits only) */
+                       if(insn[25]) begin     /* the constant case */
+                               op0_out = ({24'b0, insn[7:0]} >> {insn[11:8], 1'b0}) | ({24'b0, insn[7:0]} << (5'b0 - {insn[11:8], 1'b0}));
+                       end else begin
+                               op0_out = regs0;
+                       end
                 end
                32'b????00??????????????????????????: begin /* ALU */
-                       regsel0 = insn[19:16]; /* Rn */
-                       regsel1 = insn[3:0];   /* Rm */
-                       regsel2 = insn[11:8];  /* Rs for shift */
+                       op0_out = regs0;
                        if(insn[25]) begin     /* the constant case */
-                               new_cps = cps_in;
-                               op1_res = ({24'b0, insn[7:0]} >> {insn[11:8], 1'b0}) | ({24'b0, insn[7:0]} << (5'b0 - {insn[11:8], 1'b0}));
+                               carry_out = incpsr[`CPSR_C];
+                               op1_out = ({24'b0, insn[7:0]} >> {insn[11:8], 1'b0}) | ({24'b0, insn[7:0]} << (5'b0 - {insn[11:8], 1'b0}));
                        end else begin
-                               new_cps = {cps_in[31:30], shift_cflag_out, cps_in[28:0]};
-                               op1_res = shift_res;
+                               carry_out = shift_cflag_out;
+                               op1_out = shift_res;
                        end
                end
                32'b????00010?00????????00001001????: begin /* Atomic swap */
-                       regsel0 = insn[19:16]; /* Rn */
-                       regsel1 = insn[3:0];   /* Rm */
-                       regsel2 = 4'b0;        /* anyus */
-                       op1_res = regs1;
+                       op0_out = regs0;
+                       op1_out = regs1;
                end
                32'b????000100101111111111110001????: begin /* Branch and exchange */
-                       regsel0 = insn[3:0];   /* Rn */
-                       new_cps = cps_in;
+                       op0_out = regs0;
                end
                32'b????000??0??????????00001??1????: begin /* Halfword transfer - register offset */
-                       regsel0 = insn[19:16];
-                       regsel1 = insn[3:0];
-                       regsel2 = 4'b0;
-                       op1_res = regs1;
-                       new_cps = cps_in;
+                       op0_out = regs0;
+                       op1_out = regs1;
                end
                32'b????000??1??????????00001??1????: begin /* Halfword transfer - immediate offset */
-                       regsel0 = insn[19:16];
-                       regsel1 = insn[3:0];
-                       op1_res = {24'b0, insn[11:8], insn[3:0]};
-                       new_cps = cps_in;
+                       op0_out = regs0;
+                       op1_out = {24'b0, insn[11:8], insn[3:0]};
                end
                32'b????011????????????????????1????: begin /* Undefined. I hate ARM */
                        /* eat shit */
                end
                32'b????01??????????????????????????: begin /* Single data transfer */
-                       regsel0 = insn[19:16]; /* Rn */
-                       regsel1 = insn[3:0];   /* Rm */
+                       op0_out = regs0;
                        if(insn[25]) begin
-                               op1_res = {20'b0, insn[11:0]};
-                               new_cps = cps_in;
+                               op1_out = {20'b0, insn[11:0]};
+                               carry_out = incpsr[`CPSR_C];
                        end else begin
-                               op1_res = shift_res;
-                               new_cps = {cps_in[31:30], shift_cflag_out, cps_in[28:0]};
+                               op1_out = shift_res;
+                               carry_out = shift_cflag_out;
                        end
                end
                32'b????100?????????????????????????: begin /* Block data transfer */
-                       regsel0 = insn[19:16];
-                       op1_res = {16'b0, insn[15:0]};
-                       new_cps = cps_in;
+                       op0_out = regs0;
+                       op1_out = {16'b0, insn[15:0]};
                end
                32'b????101?????????????????????????: begin /* Branch */
-                       op1_res = {{6{insn[23]}}, insn[23:0], 2'b0};
-                       new_cps = cps_in;
+                       op0_out = {{6{insn[23]}}, insn[23:0], 2'b0};
                end
                32'b????110?????????????????????????: begin /* Coprocessor data transfer */
-                       regsel0 = insn[19:16];
-                       op1_res = {24'b0, insn[7:0]};
-                       new_cps = cps_in;
+                       op0_out = regs0;
+                       op1_out = {24'b0, insn[7:0]};
                end
                32'b????1110???????????????????0????: begin /* Coprocessor data op */
-                       new_cps = cps_in;
                end
                32'b????1110???????????????????1????: begin /* Coprocessor register transfer */
-                       new_cps = cps_in;
+                       op0_out = regs0;
                end
                32'b????1111????????????????????????: begin /* SWI */
-                       new_cps = cps_in;
                end
                default: begin end
                endcase
        end
 
        always @ (posedge clk) begin
-               op0 <= regs0;   /* Rn - always */
-               op1 <= op1_res; /* 'operand 2' - Rm */
-               op2 <= regs2;   /* thirdedge - Rs */
-               cps_out <= new_cps;
+               op0 <= op0_out;   /* Rn - always */
+               op1 <= op1_out; /* 'operand 2' - Rm */
+               op2 <= op2_out;   /* thirdedge - Rs */
+               carry <= carry_out;
        end
 
 endmodule
@@ -187,7 +239,7 @@ module IHATEARMSHIFT(
 
 
        /* might want to write our own damn shifter that does arithmetic/logical efficiently and stuff */
-       always @ (*) begin
+       always @(*)
                if(insn[4]) begin
                        shift_amt = {|reg_amt[7:5], reg_amt[4:0]};
                        elanus = 1'b1;
@@ -195,7 +247,8 @@ module IHATEARMSHIFT(
                        shift_amt = {insn[11:7] == 5'b0, insn[11:7]};
                        elanus = 1'b0;
                end
-
+       
+       always @(*)
                case (insn[6:5]) /* shift type */
                `SHIFT_LSL: begin
                        {cflag_out, res} = {cflag_in, operand} << {elanus & shift_amt[5], shift_amt[4:0]};
@@ -219,5 +272,4 @@ module IHATEARMSHIFT(
                        end
                end
                endcase
-       end
 endmodule
This page took 0.034036 seconds and 4 git commands to generate.