]> Joshua Wise's Git repositories - firearm.git/blobdiff - BlockRAM.v
blockram: fix hack, memory: add ldrh/strh
[firearm.git] / BlockRAM.v
index 1731c30363f4920a0860af2df2331e6ec00c31d5..30f7515c698dc33d7de61d5ae55a6e1b0f9ec937 100644 (file)
@@ -13,11 +13,9 @@ module BlockRAM(
         * address is not within the range of this module.
         */
        wire decode = (bus_addr & ~32'h00003FFF) == 32'h00000000;
         * address is not within the range of this module.
         */
        wire decode = (bus_addr & ~32'h00003FFF) == 32'h00000000;
-       /* verilator lint_off WIDTH */
-       wire [13:0] ramaddr = bus_addr & 32'h3FFC;      /* mask off lower two bits
+       wire [13:0] ramaddr = {bus_addr[13:2], 2'b0};   /* mask off lower two bits
                                                         * for word alignment */
                                                         * for word alignment */
-       /* verilator lint_on WIDTH */
-       
+
        reg [31:0] data [(16384 / 4 - 1):0];
        
        reg [31:0] temprdata = 0;
        reg [31:0] data [(16384 / 4 - 1):0];
        
        reg [31:0] temprdata = 0;
This page took 0.022729 seconds and 4 git commands to generate.