]> Joshua Wise's Git repositories - firearm.git/blobdiff - tests/testbench.hex
Add support for CellularRAM on Nexys2.
[firearm.git] / tests / testbench.hex
index ceab6f88c89620335204e864a8e0ae2b204dbd48..37a227a1a78b899fec9520f1c26b9abaca2652c6 100644 (file)
@@ -1,5 +1,5 @@
 e59fd00c
-eb00038c
+eb000651
 eafffffe
 ee000510
 e1a0f00e
@@ -8,6 +8,20 @@ e1a0c00d
 e92dd800
 e24cb004
 e24dd004
+ee113531
+e50b3010
+e51b3010
+e2033c01
+e3530000
+0afffff9
+e51b3010
+e20330ff
+e1a00003
+e89da808
+e1a0c00d
+e92dd800
+e24cb004
+e24dd004
 e50b0010
 ea000007
 e51b3010
@@ -17,7 +31,7 @@ e51b3010
 e2833001
 e50b3010
 e1a00002
-ebffffee
+ebffffe0
 e51b3010
 e5d33000
 e3530000
@@ -28,31 +42,30 @@ e92dd800
 e24cb004
 e24dd00c
 e50b0018
-e59f3058
+e59f3054
 e50b3014
 e3a03007
 e50b3010
-ea00000d
+ea00000c
 e51b3010
 e1a02103
 e51b3018
 e1a03233
-e203300f
-e1a02003
+e203200f
 e51b3014
-e0823003
+e0833002
 e5d33000
 e1a00003
-ebffffd4
+ebffffc7
 e51b3010
 e2433001
 e50b3010
 e51b3010
 e3530000
-aaffffee
+aaffffef
 e24bd00c
 e89da800
-00000ee8
+000019f4
 e1a0c00d
 e92dd810
 e24cb004
@@ -105,20 +118,21 @@ e1a03000
 e50b3010
 e51b3010
 e353003d
-0a000006
-e59f3024
-e1a00003
-ebffff96
+0a000008
 e51b3010
 e1a00003
-ebffffa6
-ea000002
-e59f300c
+ebffffaa
+e59f001c
+ebffff95
+e51b3010
 e1a00003
+ebffffa5
+ea000001
+e59f0008
 ebffff8f
 e89da808
-00000efc
-00000f20
+00001a08
+00001a14
 e1a0c00d
 e92dd800
 e24cb004
@@ -129,121 +143,120 @@ e3530000
 1a000002
 e3a0306a
 e50b3014
-ea00006c
+ea00006b
 e51b3010
 e3530001
 1a000002
 e3a0308b
 e50b3014
-ea000066
+ea000065
 e51b3010
 e3530002
 1a000002
 e3a03078
 e50b3014
-ea000060
+ea00005f
 e51b3010
 e3530003
 1a000002
 e3a030b7
 e50b3014
-ea00005a
+ea000059
 e51b3010
 e3530004
 1a000002
 e3a03045
 e50b3014
-ea000054
+ea000053
 e51b3010
 e3530005
 1a000002
 e3a030c5
 e50b3014
-ea00004e
+ea00004d
 e51b3010
 e3530006
 1a000002
 e3a03093
 e50b3014
-ea000048
+ea000047
 e51b3010
 e3530007
 1a000002
 e3a030cf
 e50b3014
-ea000042
+ea000041
 e51b3010
 e3530008
 1a000002
 e3a03023
 e50b3014
-ea00003c
+ea00003b
 e51b3010
 e3530009
 1a000002
 e3a0309b
 e50b3014
-ea000036
+ea000035
 e51b3010
 e353000a
 1a000002
 e3a0307a
 e50b3014
-ea000030
+ea00002f
 e51b3010
 e353000b
 1a000002
 e3a030f4
 e50b3014
-ea00002a
+ea000029
 e51b3010
 e353000c
 1a000002
 e3a0307d
 e50b3014
-ea000024
+ea000023
 e51b3010
 e353000d
 1a000002
 e3a030d7
 e50b3014
-ea00001e
+ea00001d
 e51b3010
 e353000e
 1a000002
 e3a03045
 e50b3014
-ea000018
+ea000017
 e51b3010
 e353000f
 1a000002
 e3a030db
 e50b3014
-ea000012
+ea000011
 e51b3010
 e3530010
 1a000002
 e3a03002
 e50b3014
-ea00000c
+ea00000b
 e51b3010
 e3530011
 1a000002
 e3a030e0
 e50b3014
-ea000006
-e59f3024
-e1a00003
-ebffff18
+ea000005
+e59f0020
+ebffff19
 e51b3010
 e1a00003
-ebffff28
+ebffff29
 eafffffe
 e51b3014
 e1a00003
 e24bd00c
 e89da800
-00000f3c
+00001a1c
 e1a0c00d
 e92dd800
 e24cb004
@@ -255,7 +268,7 @@ e3530000
 b1a03002
 e1a031c3
 e1a00003
-ebffff76
+ebffff77
 e1a03000
 e50b3010
 e51b2014
@@ -721,21 +734,25 @@ e89da800
 e1a0c00d
 e92dd800
 e24cb004
+e24dd004
 e3a00000
-ebfffe3b
+ebfffe3a
 e1a03000
+e50b3010
+e51b3010
 e3530097
-0a000003
-e59f3018
-e1a00003
-ebfffd2a
-ea000002
-e59f300c
+0a000005
+e51b3010
 e1a00003
+ebfffd3b
+e59f0010
 ebfffd26
-e89da800
-00000f60
-00000f78
+ea000001
+e59f0008
+ebfffd23
+e89da808
+00001a08
+00001a14
 e1a0c00d
 e92dd810
 e24cb004
@@ -793,7 +810,7 @@ e89da810
 e1a0c00d
 e92dd810
 e24cb004
-e24dd004
+e24dd008
 e3a00023
 ebffffc3
 e1a04000
@@ -807,17 +824,644 @@ e51b2014
 e3a03b0f
 e2833033
 e1520003
+1a000002
+e59f0014
+ebfffcd6
+ea000001
+e59f000c
+ebfffcd3
+e24bd010
+e89da810
+00001a14
+00001a40
+e1a0c00d
+e92dd800
+e24cb004
+e59f000c
+ebfffcca
+e3a03000
+e1a00003
+e89da800
+00001a48
+e1a0c00d
+e92dd800
+e24cb004
+e59f3004
+e1a00003
+e89da800
+00001b28
+e1a0c00d
+e92dd800
+e24cb004
+e24dd010
+e50b0018
+e50b101c
+e51b2018
+e51b301c
+e0223003
+e50b3018
+e3a03000
+e50b3014
+ea000011
+e51b3018
+e2033001
+e20330ff
+e3530000
+0a000002
+e59f3048
+e50b3010
+ea000001
+e3a03000
+e50b3010
+e51b3018
+e1a020c3
+e51b3010
+e0223003
+e50b3018
+e51b3014
+e2833001
+e50b3014
+e51b3014
+e3530007
+daffffea
+e51b3018
+e1a00003
+e24bd00c
+e89da800
+edb88320
+e1a0c00d
+e92dd800
+e24cb004
+e24dd00c
+e50b0010
+e50b1014
+e51b3010
+e3530000
 1a000003
-e59f3018
+e51b3014
+e5933000
+e50b3018
+ea00002c
+e51b3010
+e3530001
+1a000003
+e51b3014
+e5933004
+e50b3018
+ea000025
+e51b3010
+e3530002
+1a000003
+e51b3014
+e5933008
+e50b3018
+ea00001e
+e51b3010
+e3530003
+1a000003
+e51b3014
+e593300c
+e50b3018
+ea000017
+e51b3010
+e3530004
+1a000003
+e51b3014
+e5933010
+e50b3018
+ea000010
+e51b3010
+e3530005
+1a000003
+e51b3014
+e5933014
+e50b3018
+ea000009
+e51b3010
+e3530006
+1a000003
+e51b3014
+e5933018
+e50b3018
+ea000002
+ebffff90
+e1a03000
+e50b3018
+e51b3018
+e1a00003
+e24bd00c
+e89da800
+e1a0c00d
+e92dd800
+e24cb004
+e24dd00c
+e50b0010
+e50b1014
+e50b2018
+e51b3010
+e3530000
+1a000002
+e51b2018
+e51b3014
+e5823000
+e51b3010
+e3530001
+1a000002
+e51b2018
+e51b3014
+e5823004
+e51b3010
+e3530002
+1a000002
+e51b2018
+e51b3014
+e5823008
+e51b3010
+e3530003
+1a000002
+e51b2018
+e51b3014
+e582300c
+e51b3010
+e3530004
+1a000002
+e51b2018
+e51b3014
+e5823010
+e51b3010
+e3530005
+1a000002
+e51b2018
+e51b3014
+e5823014
+e51b3010
+e3530006
+1a000002
+e51b2018
+e51b3014
+e5823018
+e3a03000
 e1a00003
-ebfffcd8
+e24bd00c
+e89da800
+e1a0c00d
+e92dd800
+e24cb004
+e24dd00c
+e50b0010
+e50b1014
+e51b3010
+e3530000
+1a000002
+e3a03000
+e50b3018
+ea00002b
+e51b3010
+e3530001
+1a000007
+e51b3014
+e5933010
+e2033004
+e3530000
+13a03000
+03a03001
+e50b3018
+ea000020
+e51b3010
+e3530002
+1a000004
+e51b3014
+e5933010
+e2033004
+e50b3018
+ea000018
+e51b3010
+e3530003
+1a000004
+e51b3014
+e5933010
+e2033001
+e50b3018
+ea000010
+e51b3010
+e3530004
+1a000004
+e51b3014
+e5933010
+e2033002
+e50b3018
+ea000008
+e51b3010
+e3530007
+1a000002
+e3a03001
+e50b3018
 ea000002
-e59f300c
+ebffff1f
+e1a03000
+e50b3018
+e51b3018
 e1a00003
-ebfffcd4
-e89da818
-00000f8c
-00000f94
+e24bd00c
+e89da800
+e1a0c00d
+e92dd800
+e24cb004
+e24dd040
+e24b304c
+e50b3030
+e3a03000
+e50b302c
+e3a03000
+e50b3028
+e3a03000
+e50b3024
+e51b2030
+e3a03000
+e5823014
+e51b2030
+e3a03000
+e5823000
+e51b2030
+e3a03000
+e5823004
+e51b2030
+e3a03000
+e5823008
+e51b2030
+e3a03000
+e582300c
+e51b2030
+e3a03000
+e5823010
+e51b2030
+e3a03000
+e5823018
+ebffff00
+e1a03000
+e50b3010
+ea000154
+e51b3030
+e5933014
+e1a02103
+e51b3010
+e0833002
+e5933000
+e50b3028
+e51b3028
+e1a03643
+e50b3024
+e51b3028
+e1a034c3
+e2033007
+e50b3020
+e51b3028
+e1a03243
+e203300f
+e50b301c
+e51b3028
+e203300f
+e50b3018
+e51b3030
+e5933000
+e51b002c
+e1a01003
+ebfffeea
+e1a03000
+e50b302c
+e51b3030
+e5933004
+e51b002c
+e1a01003
+ebfffee3
+e1a03000
+e50b302c
+e51b3030
+e5933008
+e51b002c
+e1a01003
+ebfffedc
+e1a03000
+e50b302c
+e51b3030
+e593300c
+e51b002c
+e1a01003
+ebfffed5
+e1a03000
+e50b302c
+e51b3030
+e5933010
+e51b002c
+e1a01003
+ebfffece
+e1a03000
+e50b302c
+e51b3030
+e5933018
+e51b002c
+e1a01003
+ebfffec7
+e1a03000
+e50b302c
+e51b3030
+e5933014
+e51b002c
+e1a01003
+ebfffec0
+e1a03000
+e50b302c
+e51b3024
+e3530000
+1a000021
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebffff4d
+e1a03000
+e3530000
+1a000005
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+ea0000fa
+e51b3030
+e5933014
+e1a02103
+e51b3010
+e0833002
+e5933000
+e50b3014
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b001c
+e51b1014
+e51b2030
+ebfffeff
+ea0000e9
+e51b3024
+e3530001
+1a000018
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebffff28
+e1a03000
+e3530000
+0a0000db
+e51b0018
+e51b1030
+ebfffeaf
+e1a03000
+e1a02103
+e51b3010
+e0833002
+e5933000
+e50b3014
+e51b001c
+e51b1014
+e51b2030
+ebfffee3
+ea0000cd
+e51b3024
+e3530002
+1a00001c
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebffff0c
+e1a03000
+e3530000
+0a0000bf
+e51b0018
+e51b1030
+ebfffe93
+e1a03000
+e50b3014
+e51b001c
+e51b1030
+ebfffe8e
+e1a03000
+e3530901
+0a000001
+ebfffe53
+ea0000c1
+e51b3014
+e20330ff
+e1a00003
+ebfffb0d
+ea0000ad
+e51b3024
+e3530003
+1a000014
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebfffeec
+e1a03000
+e3530000
+0a00009f
+e51b0018
+e51b1030
+ebfffe73
+e1a03000
+e50b3014
+e51b001c
+e51b1014
+e51b2030
+ebfffeab
+ea000095
+e51b3024
+e3530004
+1a00001f
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebfffed4
+e1a03000
+e3530000
+0a000087
+e51b0018
+e51b1030
+ebfffe5b
+e1a03000
+e50b3014
+e51b001c
+e51b1030
+ebfffe56
+e1a02000
+e51b3014
+e0833002
+e50b3014
+e51b3014
+e1a03803
+e1a03823
+e50b3014
+e51b001c
+e51b1014
+e51b2030
+ebfffe88
+ea000072
+e51b3024
+e3530005
+1a000032
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebfffeb1
+e1a03000
+e3530000
+0a000064
+e51b0018
+e51b1030
+ebfffe38
+e1a03000
+e50b3014
+e51b001c
+e51b1030
+ebfffe33
+e1a02000
+e51b3014
+e0623003
+e50b3014
+e51b2030
+e3a03000
+e5823010
+e51b3014
+e3530000
+1a000004
+e51b3030
+e5933010
+e3832004
+e51b3030
+e5832010
+e51b3014
+e3530000
+da000004
+e51b3030
+e5933010
+e3832001
+e51b3030
+e5832010
+e51b3014
+e3530000
+aa000042
+e51b3030
+e5933010
+e3832002
+e51b3030
+e5832010
+ea00003c
+e51b3024
+e3530006
+1a00001b
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebfffe7b
+e1a03000
+e3530000
+0a00002e
+e51b0018
+e51b1030
+ebfffe02
+e1a03000
+e50b3014
+e51b001c
+e51b1030
+ebfffdfd
+e1a02000
+e51b3014
+e0033002
+e50b3014
+e51b001c
+e51b1014
+e51b2030
+ebfffe33
+ea00001d
+e51b3024
+e3530007
+1a00001a
+e51b3030
+e5933014
+e2832001
+e51b3030
+e5832014
+e51b0020
+e51b1030
+ebfffe5c
+e1a03000
+e3530000
+0a00000f
+e51b001c
+e51b1030
+ebfffde3
+e1a03000
+e50b3014
+e51b3014
+e1e03003
+e50b3014
+e51b3014
+e1a03803
+e1a03823
+e50b3014
+e51b001c
+e51b1014
+e51b2030
+ebfffe14
+e51b3024
+e353000f
+1afffea7
+e51b202c
+e59f302c
+e1520003
+0a000005
+e51b302c
+e1a00003
+ebfffa78
+e59f0018
+ebfffa63
+ea000001
+e59f0010
+ebfffa60
+e24bd00c
+e89da800
+ee861173
+00001a58
+00001a14
 e1a0c00d
 e92dd800
 e24cb004
@@ -850,17 +1494,19 @@ e1a02000
 e3a039dd
 e2833c1f
 e1520003
-0a000003
-e59f3018
-e1a00003
-ebfffcad
-ea000002
-e59f300c
-e1a00003
-ebfffca9
+0a000002
+e59f0010
+ebfffa38
+ea000001
+e59f0008
+ebfffa35
 e89da800
-00000f94
-00000f8c
+00001a40
+00001a14
+e1a0c00d
+e92dd800
+e24cb004
+e24dd004
 e1a0300e
 eb000009
 e1a00000
@@ -873,7 +1519,8 @@ e1a00000
 e1a00000
 e1a00000
 e1a0f003
-e59f2130
+e3a02c2f
+e38220e0
 e3a0104c
 e1a0c00d
 e92dd800
@@ -882,53 +1529,112 @@ e89da800
 e0000291
 e1a00000
 e1a00000
+e89da808
 e1a0c00d
 e92dd800
 e24cb004
 e24dd004
-ebffffe5
+ebffffe3
 e1a03000
 e50b3010
 e51b3010
 e3530722
-0a000009
-e59f3030
+0a000007
+e59f0024
+ebfffa0b
+e51b3010
 e1a00003
-ebfffc84
+ebfffa1b
+e59f0014
+ebfffa06
+ea000001
+e59f000c
+ebfffa03
+e89da808
+00001a60
+00001a74
+00001a14
+e1a0c00d
+e92dd800
+e24cb004
+e24dd004
+e3a03102
+e2833801
+e50b3010
+e59f00c4
+ebfff9f6
+e51b2010
+e59f30bc
+e5823000
+e51b3010
+e2832004
+e59f30b0
+e5823000
+e51b3010
+e2832008
+e3a03c55
+e2833055
+e1833883
+e5823000
+e51b3010
+e283200c
+e3a03caa
+e28330aa
+e1833783
+e5823000
+e59f007c
+ebfff9e1
+e51b3010
+e2832901
+e3a03000
+e5823000
+e59f0068
+ebfff9db
 e51b3010
+e5933000
 e1a00003
-ebfffc94
-e59f301c
+ebfff9ea
+e51b3010
+e2833004
+e5933000
 e1a00003
-ebfffc7e
-ea000002
-e59f3010
+ebfff9e5
+e51b3010
+e2833008
+e5933000
+e1a00003
+ebfff9e0
+e51b3010
+e283300c
+e5933000
 e1a00003
-ebfffc7a
+ebfff9db
+e59f0018
+ebfff9c6
 e89da808
-00000f9c
-00000fb0
-00000f8c
+00001a78
+12345678
+87654321
+00001a84
+00001a94
+00001aa0
 e1a0c00d
 e92dd800
 e24cb004
 e24dd004
-e59f307c
-e1a00003
-ebfffc6f
+e59f0078
+ebfff9b9
 e59f3074
 e50b3010
-ea000010
-e59f306c
-e1a00003
-ebfffc69
+ea00000e
+e59f006c
+ebfff9b4
 e51b3010
 e5933000
 e1a00003
-ebfffc65
-e59f3054
-e1a00003
-ebfffc62
+ebfff9b0
+e59f0058
+ebfff9ae
 e51b3010
 e5933004
 e1a0e00f
@@ -939,40 +1645,30 @@ e50b3010
 e51b3010
 e5933000
 e3530000
-1affffea
-e59f3020
-e1a00003
-ebfffc54
-e3a03000
-e1a00003
-e89da808
-00000fe0
-0000100c
-00000ff4
-00001000
-00001004
-00002fe0
+1affffec
+e59f0028
+ebfff9a1
+ebfff992
+e1a03000
+e20330ff
+e1a00003
+ebfff98b
+eafffff9
+00001ae8
+00001b70
+00001afc
+00001b08
+00001b0c
 33323130
 37363534
 42413938
 46454443
 00000000
+203e2d20
 4c494146
-6341203a
-6574206b
-64207473
-6e206469
-7220746f
-72757465
-3136206e
 0000000a
 53534150
-6341203a
-6574206b
-72207473
-72757465
-2064656e
-000a3136
+0000000a
 4c494146
 62615b20
 5d74726f
@@ -982,27 +1678,31 @@ e89da808
 79622072
 23206574
 00000000
-75736552
-7720746c
-6e207361
-3120746f
-0a0d3135
-00000000
-75736552
-7720746c
-31207361
-0a0d3135
-00000000
-53534150
+4c494146
 0000000a
 4c494146
+62615b20
+5d74726f
 0000000a
+4146203a
+000a4c49
 4c494146
 6572203a
 746c7573
 73617720
 00000020
 0000000a
+6972775b
+676e6974
+0000205d
+6361635b
+66206568
+6873756c
+0000205d
+6165725b
+676e6964
+0000203a
+00000a5d
 206d646c
 6d2f6370
 00006c75
@@ -1010,7 +1710,13 @@ e89da808
 00000000
 6263346a
 0000006f
+6c6c6563
+72616c75
+006d6172
 006b6361
+696e696d
+72616c62
+00000067
 65726f63
 73727563
 00000065
@@ -1024,16 +1730,43 @@ e89da808
 00000000
 0000203a
 656e6f44
-00000a21
-00000fb4
-00000dd0
-00000fc0
-00000d2c
-00000fc8
-00000b40
-00000fd0
-00000180
-00000fd4
-00000c60
+63452021
+6e696f68
+68632067
+63617261
+73726574
+00000a2e
+00000e30
+00000009
+00000e00
+00000039
+00000e10
+00004000
+00000e20
+0000ffff
+00002e10
+00004e32
+00004e02
+00005e32
+00000250
+00000008
+00000e00
+0000000a
+00002e10
+0000ffff
+00001aa4
+000017f0
+00001ab0
+0000173c
+00001ab8
+00000b74
+00001ac0
+00001850
+00001acc
+000001b4
+00001ad0
+000010a8
+00001adc
+00000ca4
 00000000
 00000000
This page took 0.042488 seconds and 4 git commands to generate.