]> Joshua Wise's Git repositories - firearm.git/blobdiff - busarb.v
Bug fixes, and a first cut at a bus arbiter
[firearm.git] / busarb.v
diff --git a/busarb.v b/busarb.v
new file mode 100644 (file)
index 0000000..05a5130
--- /dev/null
+++ b/busarb.v
@@ -0,0 +1,17 @@
+module BusArbiter(
+       input [7:0] bus_req,
+       output reg [7:0] bus_ack);
+
+       always @(*)
+               casex (bus_req)
+               8'b00000000: bus_ack <= 8'b00000000;
+               8'bxxxxxxx1: bus_ack <= 8'b00000001;
+               8'bxxxxxx10: bus_ack <= 8'b00000010;
+               8'bxxxxx100: bus_ack <= 8'b00000100;
+               8'bxxxx1000: bus_ack <= 8'b00001000;
+               8'bxxx10000: bus_ack <= 8'b00010000;
+               8'bxx100000: bus_ack <= 8'b00100000;
+               8'bx1000000: bus_ack <= 8'b01000000;
+               8'b10000000: bus_ack <= 8'b10000000;
+               endcase
+endmodule
This page took 0.023639 seconds and 4 git commands to generate.