]> Joshua Wise's Git repositories - firearm.git/blame_incremental - Memory.v
Memory: Fix bug in which multiplies would cause a HDATA access. Fix bug in which...
[firearm.git] / Memory.v
... / ...
CommitLineData
1`include "ARM_Constants.v"
2
3module Memory(
4 input clk,
5 input Nrst,
6
7 input flush,
8
9 /* bus interface */
10 output reg [31:0] busaddr,
11 output reg rd_req,
12 output reg wr_req,
13 input rw_wait,
14 output reg [31:0] wr_data,
15 input [31:0] rd_data,
16 output reg [2:0] data_size,
17
18 /* regfile interface */
19 output reg [3:0] st_read,
20 input [31:0] st_data,
21
22 /* Coprocessor interface */
23 output reg cp_req,
24 input cp_ack,
25 input cp_busy,
26 output reg cp_rnw, /* 1 = read from CP, 0 = write to CP */
27 input [31:0] cp_read,
28 output reg [31:0] cp_write,
29
30 /* stage inputs */
31 input inbubble,
32 input [31:0] pc,
33 input [31:0] insn,
34 input [31:0] op0,
35 input [31:0] op1,
36 input [31:0] op2,
37 input [31:0] spsr,
38 input [31:0] cpsr,
39 input write_reg,
40 input [3:0] write_num,
41 input [31:0] write_data,
42
43 /* outputs */
44 output reg outstall,
45 output reg outbubble,
46 output reg [31:0] outpc,
47 output reg [31:0] outinsn,
48 output reg out_write_reg = 1'b0,
49 output reg [3:0] out_write_num = 4'bxxxx,
50 output reg [31:0] out_write_data = 32'hxxxxxxxx,
51 output reg [31:0] outspsr = 32'hxxxxxxxx,
52 output reg [31:0] outcpsr = 32'hxxxxxxxx
53 );
54
55 reg [31:0] addr, raddr, prev_raddr, next_regdata, next_outcpsr;
56 reg [31:0] prevaddr;
57 reg [3:0] next_regsel, cur_reg, prev_reg;
58 reg next_writeback;
59
60 reg next_outbubble;
61 reg next_write_reg;
62 reg [3:0] next_write_num;
63 reg [31:0] next_write_data;
64
65 reg [1:0] lsr_state = 2'b01, next_lsr_state;
66 reg [31:0] align_s1, align_s2, align_rddata;
67
68 reg [1:0] lsrh_state = 2'b01, next_lsrh_state;
69 reg [31:0] lsrh_rddata;
70 reg [15:0] lsrh_rddata_s1;
71 reg [7:0] lsrh_rddata_s2;
72
73 reg [15:0] regs, next_regs;
74 reg [2:0] lsm_state = 3'b001, next_lsm_state;
75 reg [5:0] offset, prev_offset, offset_sel;
76
77 reg [31:0] swp_oldval, next_swp_oldval;
78 reg [1:0] swp_state = 2'b01, next_swp_state;
79
80 always @(posedge clk)
81 begin
82 outpc <= pc;
83 outinsn <= insn;
84 outbubble <= next_outbubble;
85 out_write_reg <= next_write_reg;
86 out_write_num <= next_write_num;
87 out_write_data <= next_write_data;
88 regs <= next_regs;
89 prev_reg <= cur_reg;
90 if (!rw_wait)
91 prev_offset <= offset;
92 prev_raddr <= raddr;
93 outcpsr <= next_outcpsr;
94 outspsr <= spsr;
95 swp_state <= next_swp_state;
96 lsm_state <= next_lsm_state;
97 lsr_state <= next_lsr_state;
98 lsrh_state <= next_lsrh_state;
99 prevaddr <= addr;
100 end
101
102 reg delayedflush = 0;
103 always @(posedge clk)
104 if (flush && outstall /* halp! I can't do it now, maybe later? */)
105 delayedflush <= 1;
106 else if (!outstall /* anything has been handled this time around */)
107 delayedflush <= 0;
108
109 always @(*)
110 begin
111 addr = prevaddr;
112 raddr = 32'hxxxxxxxx;
113 rd_req = 1'b0;
114 wr_req = 1'b0;
115 wr_data = 32'hxxxxxxxx;
116 busaddr = 32'hxxxxxxxx;
117 data_size = 3'bxxx;
118 outstall = 1'b0;
119 next_write_reg = write_reg;
120 next_write_num = write_num;
121 next_write_data = write_data;
122 next_outbubble = inbubble;
123 next_regs = regs;
124 cp_req = 1'b0;
125 cp_rnw = 1'bx;
126 cp_write = 32'hxxxxxxxx;
127 offset = prev_offset;
128 next_outcpsr = lsm_state == 3'b010 ? outcpsr : cpsr;
129 lsrh_rddata = 32'hxxxxxxxx;
130 lsrh_rddata_s1 = 16'hxxxx;
131 lsrh_rddata_s2 = 8'hxx;
132 next_lsm_state = lsm_state;
133 next_lsr_state = lsr_state;
134 next_lsrh_state = lsrh_state;
135 next_swp_oldval = swp_oldval;
136 next_swp_state = swp_state;
137 cur_reg = prev_reg;
138
139 /* XXX shit not given about endianness */
140 casez(insn)
141 `DECODE_ALU_SWP: if(!inbubble) begin
142 outstall = rw_wait;
143 next_outbubble = rw_wait;
144 busaddr = {op0[31:2], 2'b0};
145 data_size = insn[22] ? 3'b001 : 3'b100;
146 case(swp_state)
147 2'b01: begin
148 rd_req = 1'b1;
149 outstall = 1'b1;
150 if(!rw_wait) begin
151 next_swp_state = 2'b10;
152 next_swp_oldval = rd_data;
153 end
154 $display("SWP: read stage");
155 end
156 2'b10: begin
157 wr_req = 1'b1;
158 wr_data = insn[22] ? {4{op1[7:0]}} : op1;
159 next_write_reg = 1'b1;
160 next_write_num = insn[15:12];
161 next_write_data = insn[22] ? {24'b0, swp_oldval[7:0]} : swp_oldval;
162 if(!rw_wait)
163 next_swp_state = 2'b01;
164 $display("SWP: write stage");
165 end
166 default: begin end
167 endcase
168 end
169 `DECODE_ALU_MULT: begin end
170 `DECODE_ALU_HDATA_REG,
171 `DECODE_ALU_HDATA_IMM: if(!inbubble) begin
172 next_outbubble = rw_wait;
173 outstall = rw_wait;
174 addr = insn[23] ? op0 + op1 : op0 - op1; /* up/down select */
175 raddr = insn[24] ? op0 : addr; /* pre/post increment */
176 busaddr = raddr;
177 /* rotate to correct position */
178 case(insn[6:5])
179 2'b00: begin end /* swp */
180 2'b01: begin /* unsigned half */
181 wr_data = {2{op2[15:0]}}; /* XXX need to store halfword */
182 data_size = 3'b010;
183 lsrh_rddata = {16'b0, raddr[1] ? rd_data[31:16] : rd_data[15:0]};
184 end
185 2'b10: begin /* signed byte */
186 wr_data = {4{op2[7:0]}};
187 data_size = 3'b001;
188 lsrh_rddata_s1 = raddr[1] ? rd_data[31:16] : rd_data[15:0];
189 lsrh_rddata_s2 = raddr[0] ? lsrh_rddata_s1[15:8] : lsrh_rddata_s1[7:0];
190 lsrh_rddata = {{24{lsrh_rddata_s2[7]}}, lsrh_rddata_s2};
191 end
192 2'b11: begin /* signed half */
193 wr_data = {2{op2[15:0]}};
194 data_size = 3'b010;
195 lsrh_rddata = raddr[1] ? {{16{rd_data[31]}}, rd_data[31:16]} : {{16{rd_data[15]}}, rd_data[15:0]};
196 end
197 endcase
198
199 case(lsrh_state)
200 2'b01: begin
201 rd_req = insn[20];
202 wr_req = ~insn[20];
203 next_write_num = insn[15:12];
204 next_write_data = lsrh_rddata;
205 if(insn[20]) begin
206 next_write_reg = 1'b1;
207 end
208 if(insn[21] | !insn[24]) begin
209 outstall = 1'b1;
210 if(!rw_wait)
211 next_lsrh_state = 2'b10;
212 end
213 $display("ALU_LDRSTRH: rd_req %d, wr_req %d", rd_req, wr_req);
214 end
215 2'b10: begin
216 next_write_reg = 1'b1;
217 next_write_num = insn[19:16];
218 next_write_data = addr;
219 next_lsrh_state = 2'b10;
220 end
221 default: begin end
222 endcase
223 end
224 `DECODE_LDRSTR_UNDEFINED: begin end
225 `DECODE_LDRSTR: if(!inbubble) begin
226 next_outbubble = rw_wait;
227 outstall = rw_wait;
228 addr = insn[23] ? op0 + op1 : op0 - op1; /* up/down select */
229 raddr = insn[24] ? addr : op0; /* pre/post increment */
230 busaddr = raddr;
231 /* rotate to correct position */
232 align_s1 = raddr[1] ? {rd_data[15:0], rd_data[31:16]} : rd_data;
233 align_s2 = raddr[0] ? {align_s1[7:0], align_s1[31:8]} : align_s1;
234 /* select byte or word */
235 align_rddata = insn[22] ? {24'b0, align_s2[7:0]} : align_s2;
236 wr_data = insn[22] ? {4{op2[7:0]}} : op2; /* XXX need to actually store just a byte */
237 data_size = insn[22] ? 3'b001 : 3'b100;
238 case(lsr_state)
239 2'b01: begin
240 rd_req = insn[20] /* L */;
241 wr_req = ~insn[20] /* L */;
242 next_write_reg = insn[20] /* L */;
243 next_write_num = insn[15:12];
244 if(insn[20] /* L */) begin
245 next_write_data = align_rddata;
246 end
247 if(insn[21] /* W */ | !insn[24] /* P */) begin
248 outstall = 1'b1;
249 if(!rw_wait)
250 next_lsr_state = 2'b10;
251 end
252 $display("LDRSTR: rd_req %d, wr_req %d, raddr %08x, wait %d", rd_req, wr_req, raddr, rw_wait);
253 end
254 2'b10: begin
255 next_write_reg = 1'b1;
256 next_write_num = insn[19:16];
257 next_write_data = addr;
258 next_lsr_state = 2'b01;
259 end
260 default: begin end
261 endcase
262 end
263 /* XXX ldm/stm incorrect in that stupid case where one of the listed regs is the base reg */
264 `DECODE_LDMSTM: if(!inbubble) begin
265 outstall = rw_wait;
266 next_outbubble = rw_wait;
267 data_size = 3'b100;
268 case(lsm_state)
269 3'b001: begin
270// next_regs = insn[23] ? op1[15:0] : op1[0:15];
271 /** verilator can suck my dick */
272 $display("LDMSTM: Round 1: base register: %08x, reg list %b", op0, op1[15:0]);
273 next_regs = insn[23] /* U */ ? op1[15:0] : {op1[0], op1[1], op1[2], op1[3], op1[4], op1[5], op1[6], op1[7],
274 op1[8], op1[9], op1[10], op1[11], op1[12], op1[13], op1[14], op1[15]};
275 offset = 6'b0;
276 outstall = 1'b1;
277 next_lsm_state = 3'b010;
278 end
279 3'b010: begin
280 rd_req = insn[20];
281 wr_req = ~insn[20];
282 casez(regs)
283 16'b???????????????1: begin
284 cur_reg = 4'h0;
285 next_regs = {regs[15:1], 1'b0};
286 end
287 16'b??????????????10: begin
288 cur_reg = 4'h1;
289 next_regs = {regs[15:2], 2'b0};
290 end
291 16'b?????????????100: begin
292 cur_reg = 4'h2;
293 next_regs = {regs[15:3], 3'b0};
294 end
295 16'b????????????1000: begin
296 cur_reg = 4'h3;
297 next_regs = {regs[15:4], 4'b0};
298 end
299 16'b???????????10000: begin
300 cur_reg = 4'h4;
301 next_regs = {regs[15:5], 5'b0};
302 end
303 16'b??????????100000: begin
304 cur_reg = 4'h5;
305 next_regs = {regs[15:6], 6'b0};
306 end
307 16'b?????????1000000: begin
308 cur_reg = 4'h6;
309 next_regs = {regs[15:7], 7'b0};
310 end
311 16'b????????10000000: begin
312 cur_reg = 4'h7;
313 next_regs = {regs[15:8], 8'b0};
314 end
315 16'b???????100000000: begin
316 cur_reg = 4'h8;
317 next_regs = {regs[15:9], 9'b0};
318 end
319 16'b??????1000000000: begin
320 cur_reg = 4'h9;
321 next_regs = {regs[15:10], 10'b0};
322 end
323 16'b?????10000000000: begin
324 cur_reg = 4'hA;
325 next_regs = {regs[15:11], 11'b0};
326 end
327 16'b????100000000000: begin
328 cur_reg = 4'hB;
329 next_regs = {regs[15:12], 12'b0};
330 end
331 16'b???1000000000000: begin
332 cur_reg = 4'hC;
333 next_regs = {regs[15:13], 13'b0};
334 end
335 16'b??10000000000000: begin
336 cur_reg = 4'hD;
337 next_regs = {regs[15:14], 14'b0};
338 end
339 16'b?100000000000000: begin
340 cur_reg = 4'hE;
341 next_regs = {regs[15], 15'b0};
342 end
343 16'b1000000000000000: begin
344 cur_reg = 4'hF;
345 next_regs = 16'b0;
346 end
347 default: begin
348 cur_reg = 4'hx;
349 next_regs = 16'b0;
350 end
351 endcase
352 cur_reg = insn[23] ? cur_reg : 4'hF - cur_reg;
353 if(cur_reg == 4'hF && insn[22]) begin
354 next_outcpsr = spsr;
355 end
356
357 offset = prev_offset + 6'h4;
358 offset_sel = insn[24] ? offset : prev_offset;
359 raddr = insn[23] ? op0 + {26'b0, offset_sel} : op0 - {26'b0, offset_sel};
360 if(insn[20]) begin
361 next_write_reg = !rw_wait;
362 next_write_num = cur_reg;
363 next_write_data = rd_data;
364 end
365 if (rw_wait) begin
366 next_regs = regs;
367 cur_reg = prev_reg; /* whoops, do this one again */
368 end
369
370 st_read = cur_reg;
371 wr_data = (cur_reg == 4'hF) ? (pc + 12) : st_data;
372 busaddr = raddr;
373
374 $display("LDMSTM: Stage 2: Writing: regs %b, next_regs %b, reg %d, wr_data %08x, addr %08x", regs, next_regs, cur_reg, wr_data, busaddr);
375
376 outstall = 1'b1;
377
378 if(next_regs == 16'b0) begin
379 next_lsm_state = 3'b100;
380 end
381 end
382 3'b100: begin
383 next_write_reg = insn[21] /* writeback */;
384 next_write_num = insn[19:16];
385 next_write_data = insn[23] ? op0 + {26'b0, prev_offset} : op0 - {26'b0, prev_offset};
386 next_lsm_state = 3'b001;
387 $display("LDMSTM: Stage 3: Writing back");
388 end
389 default: $stop;
390 endcase
391 $display("LDMSTM: Decoded, bubble %d, insn %08x, lsm state %b -> %b, stall %d", inbubble, insn, lsm_state, next_lsm_state, outstall);
392 end
393 `DECODE_LDCSTC: if(!inbubble) begin
394 $display("WARNING: Unimplemented LDCSTC");
395 end
396 `DECODE_CDP: if(!inbubble) begin
397 cp_req = 1;
398 if (cp_busy) begin
399 outstall = 1;
400 next_outbubble = 1;
401 end
402 if (!cp_ack) begin
403 /* XXX undefined instruction trap */
404 $display("WARNING: Possible CDP undefined instruction");
405 end
406 end
407 `DECODE_MRCMCR: if(!inbubble) begin
408 cp_req = 1;
409 cp_rnw = insn[20] /* L */;
410 if (insn[20] == 0 /* store to coprocessor */)
411 cp_write = op0;
412 else begin
413 if (insn[15:12] != 4'hF /* Fuck you ARM */) begin
414 next_write_reg = 1'b1;
415 next_write_num = insn[15:12];
416 next_write_data = cp_read;
417 end else
418 next_outcpsr = {cp_read[31:28], cpsr[27:0]};
419 end
420 if (cp_busy) begin
421 outstall = 1;
422 next_outbubble = 1;
423 end
424 if (!cp_ack) begin
425 $display("WARNING: Possible MRCMCR undefined instruction: cp_ack %d, cp_busy %d",cp_ack, cp_busy);
426 end
427 $display("MRCMCR: ack %d, busy %d", cp_ack, cp_busy);
428 end
429 default: begin end
430 endcase
431
432 if ((flush || delayedflush) && !outstall)
433 next_outbubble = 1'b1;
434 end
435endmodule
This page took 0.027233 seconds and 4 git commands to generate.