From ff7fd7f2e78ed70833e58cecc316d5c8d6603349 Mon Sep 17 00:00:00 2001 From: Joshua Wise Date: Tue, 1 Apr 2008 23:48:24 -0400 Subject: [PATCH] Add files, and add a freezeswitch to debug this issue with push no type check. --- 7seg.v | 58 ++++++++++++++++++++++++++++++++++++++++++++++++++++ CoreTop.ucf | 39 +++++++++++++++++++++++++++++++++++ FPGABoy.ise | Bin 228059 -> 228513 bytes System.v | 4 +++- rom.hex | 16 +++++++-------- 5 files changed, 108 insertions(+), 9 deletions(-) create mode 100644 7seg.v create mode 100644 CoreTop.ucf diff --git a/7seg.v b/7seg.v new file mode 100644 index 0000000..ed4519a --- /dev/null +++ b/7seg.v @@ -0,0 +1,58 @@ +module AddrMon( + input [15:0] addr, + input clk, + output reg [3:0] digit, + output reg [7:0] out, + input freeze + ); + + reg [12:0] clkdv; + reg [1:0] dcount; + + reg [15:0] latch = 0; + + wire [3:0] curval = + (dcount == 2'b00) ? latch[3:0] : + (dcount == 2'b01) ? latch[7:4] : + (dcount == 2'b10) ? latch[11:8] : + latch[15:12]; + + always @ (negedge clk) + begin + clkdv <= clkdv + 1; + if (~freeze) + latch <= addr; + end + + always @ (posedge clkdv[12]) + begin + dcount <= dcount + 1; + + case(dcount) + 2'b00: digit <= 4'b1110; + 2'b01: digit <= 4'b1101; + 2'b10: digit <= 4'b1011; + 2'b11: digit <= 4'b0111; + endcase + + case(curval) + /* ABCDEFGP */ + 4'h0: out <= ~8'b11111100; + 4'h1: out <= ~8'b01100000; + 4'h2: out <= ~8'b11011010; + 4'h3: out <= ~8'b11110010; + 4'h4: out <= ~8'b01100110; + 4'h5: out <= ~8'b10110110; + 4'h6: out <= ~8'b10111110; + 4'h7: out <= ~8'b11100000; + 4'h8: out <= ~8'b11111110; + 4'h9: out <= ~8'b11110110; + 4'hA: out <= ~8'b11101110; + 4'hB: out <= ~8'b00111110; + 4'hC: out <= ~8'b10011100; + 4'hD: out <= ~8'b01111010; + 4'hE: out <= ~8'b10011110; + 4'hF: out <= ~8'b10001110; + endcase + end +endmodule diff --git a/CoreTop.ucf b/CoreTop.ucf new file mode 100644 index 0000000..6cbca7e --- /dev/null +++ b/CoreTop.ucf @@ -0,0 +1,39 @@ +NET "xtal" LOC="B8"; +NET "serio" LOC = "p9"; + +NET "leds<0>" LOC = "j14" ; +NET "leds<1>" LOC = "j15" ; +NET "leds<2>" LOC = "k15" ; +NET "leds<3>" LOC = "k14" ; +NET "leds<4>" LOC = "e17" ; +NET "leds<5>" LOC = "p15" ; +NET "leds<6>" LOC = "f4" ; +NET "leds<7>" LOC = "r4" ; + +NET "switches<7>" LOC="r17"; +NET "switches<6>" LOC="n17"; +NET "switches<5>" LOC="l13"; +NET "switches<4>" LOC="l14"; +NET "switches<3>" LOC="k17"; +NET "switches<2>" LOC="k18"; +NET "switches<1>" LOC="h18"; +NET "switches<0>" LOC="g18"; + +NET "seven<7>" LOC="L18"; +NET "seven<6>" LOC="F18"; +NET "seven<5>" LOC="D17"; +NET "seven<4>" LOC="D16"; +NET "seven<3>" LOC="G14"; +NET "seven<2>" LOC="J17"; +NET "seven<1>" LOC="H14"; +NET "seven<0>" LOC="C17"; + +NET "digits<0>" LOC="F17"; +NET "digits<1>" LOC="H17"; +NET "digits<2>" LOC="C18"; +NET "digits<3>" LOC="F15"; + +NET "buttons<0>" LOC="H13"; +NET "buttons<1>" LOC="E18"; +NET "buttons<2>" LOC="D18"; +NET "buttons<3>" LOC="B18"; diff --git a/FPGABoy.ise b/FPGABoy.ise index 35dc92f9e5820a8b3778c169ac0c20f59e5deb59..d4a916a5f7759f4d14c255ffddca171e7c98d73e 100644 GIT binary patch delta 66981 zcmYgY2|QHY`@i?j%$+f)v6OusTlPJ>G4_2ILP(;;mZgP;NGc>(QIlB^-ABxz5n zw5g;-C24!x>reH}|Mz}A?|hDPpYuH5@ArAmId{&z)5A~v2fy++efsBO0hphqo*`dqUCB}lQ*@zL*n#upJMujD>SoS|o=9n#1y-)pYC$N>HD-!?@C^`lq+ZHr{nHqa0J zX`>-Oh<;IdjKv@(MVXuq+EoA`8&B#107)B3^TFv-Vd?Mlff1p9mj#f0AQ*S@U^?F6vmZ;^Wdh*ykuY7P*4)(lm6LbU{RK0mQ5Fu<(^AAw-w z%SqMrqko;ovv_Fq#=n6VT_k$NL@XOfyf7-jleURo`}b-{3e^$l$$MfK!Gr5Cb`R`5 z-XcGVh2k2D&h#Yk8p=!31}Q=&NHRbz=oAqpt|OuZV}lKFKKil0u>$51&EoPLgZbn( zxLo0m2|-)6p0*O)3$e*b;IhbsI{l9%N=ZR2=rw;y`VhD>h@xGLvY@}a8n*{ZHjRi4 z?XM8XYa^^}*5~3sqn#rtRrHF#j?V8ysUSPQ5X}le2rj|%KqtLRM5+YSypgmB;09l% zY@;9f>!J4>Z52RS{uF%&HVO!~9u#Hy6C)Dx^K{K=#^5w?J;5ibpg;?Sh(c~y0H8AY zjG6%@4Q0YXlIa+TH`1H`Mtn3$+YfH6ERkD)pH~y#4*hzOo0Gxieu>|P1Ou}z~H$!Q(=${8fK##H+IG%~7)R|fq4G+vBS`IJVlI3iHUp!JB3#?4wOppC4wO>#@PZB)2bKM1yw~8=q?Z^ zP#HA>RTsP9Hvu$D8x;hKb`5 z1lWF-90^8+xhQu^$_f!Tq(#u3#H6%5XK@yND?|uQr>zA<;cldCV6!HLJPHaPZlH<+ z3jH-?epo@a7-Db1#^(-Y0Q}e&QwD*JfFEfDSZ<<|<^U0mGBoew0Dx73`N6a>xr>(k z>qi9C&=bH)!iKsJ0BBr6?r>$kMBN4b?monfjELA>Y!g7T(&JHrC>phdx~z$lZXfyy zE-PxHTfvcFCZ-Ji+KG5EFnn|zlc2Z!ok1EScqq)KyQ_#ESux_N>;gYS3({sFxVizG zrnmkz_gRWQ0GG1$BoCOT%hw_O+zmw!tAT7eD$z*5Md~BLk`oDQ#1?}GgG6)*fE9^G z=Rw4-pU744Mt+8Imr?Ec2ou(^N9!s25T$(#-wz~4UJ(6qOtEFudRTBZUXz4ieg&>5 zlovyv5#sW4ocrWqNKy4I8n=Qayh+zUsr9=NO$d0~AJYQW^fJ&509>dE+W>@EWt1^4 z-9)Sv06KrC+y{V{r^yC$CBT_ebh|oc1cMD8(xjl+B=g8sphl?<$q5`qNMeq_#i$82 zgjza3NV9{g5Ew@`!%cwaJjy%psfds|!B5H;I-$X&o0s5+VdmR*Qhoz=-wPN&q+F>U zP2+O4rhEk(|LsH~(^O}eM*XK2N@vox!POugatZ(~lE--=8UAcylqtgTqXb~O+HpBTO7s%CeZ?yU!C4})Gx}Q6>l<`i8uK6BW3leNvi$8{ZKI_C^ z&&9%LrAKw9i8uwO7>?6Gp(VaFUkER7fv8kqu1zQ11{xa*@E0JKRuSR}qrzLzED%Vr z1m`Xi25pEe6wjy%vJ?OvzKr=m9!x8!jX+-2i_lgS(J@3a!P0sUN&?S(Rb&E|v62of z7d+Th5m}1NumXw=^c;VWyn#{k-%@A(iHK5aNE%=h@|*Mk>TBaVbS>BmKf?x~-_;Vs zq2QHf2w5c&)Qd1uV(OStD!|YAQrbKa-AM<%1GOxZiZP&oRMd!crG=cJ@2E6{5qOVf zK^Qg}S@2ILlv;t8fgFN|DC@x8=_N=pEC^J4bPO{Q5v-QwMnV7 z_5_czFmn*w9*zAp zaabGpv$0_q?BS2+06kL@sTBI-V)3((C$Xb=476E~X)4fmU4&eObWnKFoe-EWk9q)X zJbGvxM@YWF6Lb`$kyAzTV7iF?pu|GpQ;YB}0Ay!OI|yyFT?iXgoO;D04L;Qe&><*5 z>5mu%7BS^S>c20dk_f8Vf+AdW3-HU3H%l_ntiCYD$QezA%R>H$9K?BIL9>AP0{qxb zh~sXI=z-1P3w$1AZpn8f8#tL=#eM>(C8E^(uzcW-1WQ9?Z8DYnUB+D>{SK-qHeh?9 zgl&c?{ZNZ8FUiUfJ-ZeW_!k{z97SPIM?~qgV}L}NfoB17({02J4S5&j3!VF2Gx!4K zGng`E@q92fS%%nwYyMG85Xwz80uzCI0NEVE=@%@8XKaAb7@zW~8lW%xqaOYSblryz$q*N{mfP+KFciNp^+0w%H5P)Zhcl#AfQ z{u_BKl-u42vOX*wIg=y|i1-U4hJb4#6{CYsrLDwAZ5>V>Hv^u?M<6Lsi|N4R)47Nx z!x$C?83mhp_J|bty>3Sp0!)jtN#S50d7CE_5@WKI`T!;lNsmc6a4P7C zTn9kpK_nUqAls4-_lxNAa=ZwH8(l%n=!kGOW2qo9`xj;jG8jf$B2G_~gJHl^MT?RP#%C*eq#&yLMSMM^)Vz}x z4E;i0XgFxM5TibVc|?jrZbLt>HQ5zd<%J;+xcx_wp+9YdS(Ku_xH$+vBuJhF>NTlI z49K=Y6)pW27!gn;wDm>8^l99q41xPtJj{H7vY#umO+xcElDfEa}){V9^Uww(;~T|*kDe8#HbU^{kH%ie7fWp z&|hPOm4Y9;XShDhcP&BeHlT7pOS&?LoKP|l^5%Xo)RCucfm8&uNZgafRgTy)7-`ms z^+P0=?-&izt8|Rk0g;rPh!O*)Wem>d>i(WK#ASXF?*q&5A<9XZVi!x17m&btCz1OE zZm7@P;KlaPPs62u3p>G%fZGxRU-By*4C zb~d8*5KMdnCIFhMT9Q~0ILrXW0UY0d1m9vNXDQMIlyD(z1z4IdChr9g`z$D>pml#f z!UIUWU8t3yyQd8H8=?oq;TF)Zdk}pH{qhN<{eV194gYgs896(LPy=o9aR^p1iE?k5 zD|jiH(BDgt?4TX)gRKRptdnH!u|lN?bsNa19)x}Xdxc^`)@Y&l;sKNm?8RcyL6{rT zw+R~~CZ~_&4(w;olP-fQzFG9Hnzl8LEFL) z$3Yd}ED8ZYeU#9^IaG7c7?6eEum`}*OPb^cRkeIIp)wwDQ`ik!3E~1O5Cjk48wdiBu zDkn&knaC??EWlTQ6qb&tF-&xAU0f1|-F!kkH_@DDJWj2KRN5vHvZnIl+J-py-p1e? zq6kaO{URdBRLt}kFmMb+$AE#HF18gah3$aLgW%U6Ad6xAxGK?Xx{&jD59u42a&Gdt z0dZYZ>IBq?-85DLVQkkTkD%;%hp7^PR{t910+6)7Pc4Ohje4vLU^$(l@Pjr-uhZwv z##%X7r>I*!ss^f@9K_T?aXm}?DuA#!OMMM(#R=+hXnTla_Tbd0nA!_me@-L6!KcoA z;)a=sFAs@(jJHY$+Xj@_rN|km2}(4w1y&>n5kw5s`l*140V>VsH11dCrPl~Eb1~ju za82bC5o*3LTi|V&f{3tGVc_d+s z`el+CEGS{NG-v1+ct}iIDu|Kf;{}`+wO+=QBvPKr^8g&jzrjwzM25*`?En7F5TrWGr!Re*C#hwM?Uy z09&&d;s;BV4?Xyg1R@|t$XdxO=_z1$0lG&6H6NTRX3@I2?ZZU6m6*2zOuV2*avkVW zeixg9e(z>m`W56+B&eGJxXLfo4(8FKpTww@J%2970ZXe&LZ7W@+6Pil>}mE~ z)t^yp!R~k;r308bm{Pb$AQB}+4qMCjG%}ywHn$WYC}SMi1Ky~yw0scXvx;X2csB8- za~G;WCW(935_SsT1-SKI5`5N7jsPtgdY1L0-H;lIY%C2FVXvfa1{?Px^c+k?$z$jW zSn3v>Ln;6jeg$dfwn?Pkh#6}UG?Ad$=qMiM;@E`bz`AARg5*O#xdr(}gxJU_ z)*v&$g|UcI4%`RykxeizkV+h~VRF0>9VpJ5HDq4^wM&Nj9_q{K4p|NQx1B@wLo})w zX${oY$`ABFz`yhgeLJ*6*OT4BCNCP-0H4}jNa38Hz&XXJXHa!e##fyd1%riHv^6jw zJeD*MXe@1@U4inFlcc1=*fYu`3Z&Ctm#DO5Dqh6y!zi!g)cKHjm0aW+&>-I@CT*D< z8Ehp?H1kblTOgckgJghYPCh&r0hh$r&VD0jiyfXf2?X zI85aOr;}Z15&#lrlEi`Z(p<6*EH*h8F%*ER#G~A$PlADQzdm?wqdbA=(nXlSn+JNW=C6Z^+0 zY=F`!kG=;e3f}lf2>-kriv!IpgDGq0v?u;^l$11HLdt~!YhPp45ZFEd;U_emm>lk_ z5T>svk#My~fR+T)DRe$&0W@ZhoXi21VHjx`QoNxA{{m3P3lRq>lKI1UCipSEgpq-s zN*li6uj(8GHNT77!HlO zE(3LT{QyzvEQ0bAUCySQE*=z;t8y0$gCv?ires0;TtBTH04c4e89+Pm0ht8;)60=k zz(A#-22f|B+tBl1Bl(`}2R;iXs1bA7AU3lpMNCr=Xwz)4&2HH}$Q9Xbn$|7F|=m(ogs?R@Wp~#tm?D)n|D9~;&BJlyofI}2t2+}1_34?a`G9G!zg2rO(IoNx>By|I! zq;O;$z|O0{7Jz@X1yt+5y0H*sT8sLM%dQB;U;tknb_fR8zejXI)f<?TDU3wN_hw+cx4Zz5eVAP$HoDyw+4O$`VB)! zD`0{~>+xiPS|$N>4zxpau{$7)hcN99^o#3LB>s*iro6<(S-i_$O!bOd*V-k z^w>3$KM;xx!eXG!Qa~2M_DA->!lB>X9#sKyAu`mtTS1vW%Z;vsPtLLG1y1p0T)Lj5p^i8VR>u`NMQ07T@F>h;0-+;6b;>hnnGb}MUl8iAS*v$ z?*Ph@#ndLK2aioC_eE*x2ui^$#J|VhXFsBQ{y9Yibf{i{eI^krgw@~rJF*jaOK-)b z;kH6kn$!>W$^NtNa{POLoPQNA0eKrbLwx}iBi)WFfgVd%``}4X3?^e_U0|FuN?HJc z1J`0Q5KMiX#y#LOQ>PSyn1cGWz2L{HpLp)8WzIuB3%XYB!nx;KPg8LpfP1?i{|2Lc zx_B;ut~O-C!%uAX4NiD1{w75cd^KAm=^%qkA#F8`4=*4kg66A6X@Abs=QcKj1Z)wY z*E>h__?eokQn+iN<09-METF#ksTTp+@5hu$XkR@_`3~aweIWFgFge;Z6X*#nAx%Tv z&AlWkXa~PW32sUaNMa!QQU^*1*mTFz^g+SEMaW*@OZCOv!QQwKHR0CSHR|p;`#-PD z+3qDqmMDtVg9FvuWbXSjN2Zatgt)&PClvh*!PG49bzrOPgE2u+bp$7ah<*j=X|R{_ zrD{R_yxND#1E54P3oX1*`=FD63(X_3R_20u*^C0ibs;AsJ}S;hr^^_#%}65^qB(0Fv)1 z)(E~Gsr04bd+8n^pq5fK@sVcfT?Ar3?XHnGKi+_%R-){5zj!AgD_O;sWbA zKKrASKxSwa>Hu0OnPSIb(m0h16u_34k)FcgMI7rQF3xl^|N&N2&#sfr36Y7d)$d$6o>x-E`vWQl`!cR0}Zc zUqRF$?(i>UB}CcLiOmNIRB%EzNJMNAwjJu&T9@(z{4XgXJc7hH`ye4yEi3{snQLLj zz;D_D`U8oV2dW3OS;^=>9}U+E|qK(>J%Cb^Ui z!0Plv>@@gtSx21&)g_&=M_}U_i~azgifvR8U~bGnCjMfY{r$kad&mw5AbW}Q8fc|C zppK9ryY+-hI!7^Kc+*045a-^Vhku}5h8S{TRFu2;FlgLkT7f%wBJekBMjZdvk|2WeOwuzz z;Lsf89ih2QPHZ{l4P?lP;2DAIc6CJ5GR<(+cB&o3n7)jPg9HUxm>z6ZI@zcO^e-Og z;U1;xE++eceEAP)w%}S|0=dNHj}lYMnC42<$ADN-4u|)&Q9Dtj3M}zbssk|heu`rN zdWiwyAIju-(7u9y<;S!{xEfkPZ3WLB#@K$SeEU?&pY3UG+ZA|&KLdN6T(Sw|X-5f( z`(S?EIIAw#H5ifKuc-D>>!rW1wtW==_t&@RX|69b`nr2 zvZ(|_S1m&AK}qEftP`r$=@j)0WZ_pbQ4qF}Vg8xo2?4q;p>jk=n5?dG3PldaH?1Lm zf?cL|BNhtA7D-e=&~cF^K?~RMR)j1Cy`!cB7Iic7cj&L1LfW~^9+J6-RHaFnDfl(q zfNzGe%Fl`1a3<#t?F%<2qgX6hTISJ|V0D?-f$adjt&_2X(6;MFCm_0f4aE%FZ7;C% zz{=%25BK*=y2iAvz$&PSviwg@G?z<;>(x@bV4?pROrnFM0DhV)fD^cjW@!!xd`yIUw{W4_oXAr0k<9QBb>=S9$&=z=2$^iSd(%3>+gVjv% z5<1VnU#X6?5#&fM{xVb;6p~9OSdk+9$1yoBgK8ool8NpoiXu(Tg)n^xtP_Bk0OOCX zlwJU8cMa`>jY_hHz6}6{rV@NB#W-nDI=%$%3}b9))aOv2@_gZ#KyYBGOB=uhdl1(j&zK>*$sAR4&d! ztO0yGa0ptIJf^dnP>&Kbtpi#PDP-q_-TdQo1bem zxTiYy@T}Sk)Ek7Qn22n23vJ@{gf!0Sa?HQ5mfz=8G?Y<>sCcZ3P4tvLi;K z6*w3H+Syo=X5hv&?JLhCFtj^@slYtd&Bys6*FNizS1_~YYmpuUyEI{}00g%FK+}SH zn7@%`1bJU7iERJFnlj6R$wL&Z5;Y@Th6+i^rE%}kq(35(P!(fYq*tI%>L+>%APg-d zW>ztkYe)frVZ}L;C142CKwm>YGY1tQOjgT1sOVbZ48-5J_K1Vy-3|V zS66dKC#Yr&_5`ZMGX;AEAiQg_4rn_arxpVZk6$z)NGG2pngHn+UPUVh3C;B>{*a`u zdV~(eZHSP$hgRj4e1- zvN({)T7~|Gbz{*6iWLAk9*DHT?m!twtNtm82#CD)&}Ejy%vwjhHfVcR6)op_kRv-lfBq2?_sy22PY?~r%N+|O5RljM^Dx1`h5^M9kRKmIH~o>5 zh>gKbx$mc}^&*J?iNH@d0tIR9Pnv-K)DX%HOe}}zM01Ry7WXlXYbISE!uiA^-yviC zXQ>~-mt!75j%AvUA~XPb;wz>LGV0PvMo>JVVdy1jOKnGA00Mb$!ar7Cd~pQI3jz2L z9u)|zafm32Wul9Su2^}$`wHk&SkC2iQEOOQ3>%SYXb(hTEbwjkmZ||0V#*QA1F>y* zY22SBnF|rxaiSdLHAJ89LM;M%nr^f`+*%o@l0Yi&GVBD9aYixj+ab=jIQNG+Y@Buu z3Y)nC9S3{)`DE?`>WVo0?=QM$U*bkBMCkuc%n>v+8?k^Ac<05#{W*w^67>^+a~vdo z#A$|)mLON4KFM!L+&47htEtkk3oN>dz6A0L9mq}KDyBSpL_}?$$>be8Ytnr zY21I!!Sgv%0{v7|%3Da8+fkA)_>7n!5qlC8I2XW>vVg=rUJuTpau+ag*K=3A01^ath90)VNYFKHaWZW<;H013-R(w)CP{SjnC39Sea+9y+5!N^4( zF$MWPj8L!sQwBxWQMkW;Z+<{l0O_;4Xxwj-O1VVAIx+9%0Lom1#{Ksp1g_z)ponyX zsoZbk%MT#6AO^madIWH2YEp{fF7uosK}%%n)KkyGHutjtqr%#ttV`jZgf<<+LO^S? zXd)<4UPtp2E3+z2O^Q)xF!yx6;v`$E?5)J2`6Pn=UpJ3lGjg>=+?1WM7 zGjN$wNftlpk4Qx zW5K%%+*2do2*gDam$$^$3Cwh#aW-!vp@9c8>$d)wRvj$QVOz>n*m$Sb=)90PpHr}> zttzD#mp$*6;8FLS5vae4{g`>6&6R`~$3y$D+uD(N zKi~bASYMmxJN&-2+P0#Lemu3~)_Ab2+~?zE2DT;3#g|&jOwa?2D|uQPi7HMy=csGo z*Ejy^S)bK9Ww(}FbgDQQiwD@y&)s>}kheR?KjVXAz^76~+-{`Q4BKrIuv3VPyJ^mS*C8MkrC3 zUO;$dRC12FuN66{w61u{!cq;@)Ho={T8HN4Jz68~Jk)%@m)KG4I+{|oVDw2At=c6u z`e_bozn1@tC3%mPUB@ti&^vl+ z$2o`L$eVZG+SM&T@lt`#Xz{n&an>Q{v8j8f_|n}fCpisot!^zbITK%}9_Ef)E*Y*D za|_^Qn)98Ic7E)wcj|g=nzgD(o}9Dx6ZQG}>HS~IZS3iZ%fzU|y6D*G zxyjZyqM=27oQ4)&yH}?bDM3ySMV6zi%7Pwe>(GZUoyueD6C>Wfzqz4%fsLeO&Co=| zaLZe2nTJc6ic{0Pd*?G&T3am}X|k-{`_56pw0!dg_tQrXtwhE>c97hyv_qJ(4U@3sisw$-tbAw2&-r%N2`z-0$k z=j~>%HrEK7Zb+VHrCudgWD|nfz1ltQ7x7od)ys!sT+-hg_h%~FgykmuC)w}uj9S=xmB)&j<=DsdYoq5zk^lb~}>~}q3B}_l99qc<*`E+1^NeerL zU$NkwaY{x@We;O;Z;f5po{25@=*=ysAAMfY(O%##*m(8T#zt9_PimT_R{2%-aj36D ztv^LVfAHwQs{$3LJx1!O$-BaB&l#;>zToJfb=_y(ZPP<{VoEg*DC4`rT+71OozqzT z<9Yvjo+5ot$tL&L`7gGVvBKFkTdUU|J#o$^%Q7~6nRQ>J*Q-p*xrS=@py4=?gqMQ5 zd@j}gTHSrZVWZ#FiH#K&iG37g+;?XgOXt^lZIZ#pRF4uTsRQXrHf!BoqjSeX^qHYT zTi0bXtUmiYDjo~9UwW;!bc6EAVrT1Mz7ccdseKB;<9UTU*c^tv)@Vj&yZBQ2W}aOR z{2jqgGAlbD?cxzg&cEFid#|a{)?4&}t=)-DeNN|zFZ6wf-1+to{r4&)vGUisq{GjP z>5f+El?UDaPsvDn|NR)x2gKPOI-DuzijAa^tKWA;t$tJVdDrel7um(nif`{o{j%%TI%A8X zLFXa3!SBpN=2^7inIN=%3;(DE67338`G`w7YG~P6nA%;aFRk@M!+Kr#xS$e!NfG;cruF_RgB|YP#U#o=l(D z4a2s(WK%+NZ{4NtbQx<6J-ouvWic-g!aErNlmm>)*7^AVsCuO@sD;sqUj0F zHWOd=?on{cw37%m@T@#)qY|=t;g;@azp^&|=W}T*pKH+8ke->wQ>`n$)T!ohS`OQO z9CWS_Jl^t}RqA$5v^o{IZ90?N7igA>wuO5&VeB1lM zvO51<_9ScD>(DNmYv~p@+5eU;+9JnRc+nJIR2C($;AUUk`n}q2A1d7KZ~2aHILo=h z>EF83#P-+aJtxNbay2(>+WK0st=;9#=4v&MA34{=d!D*)?_?COw@=HndwEoB)4Vrk zc1tqtBF*0_%7%_QB;J~e+kY_2rmx#GAnRSHCeH%2bJGbgH|qlbpXFj1hWiEvTB7Rr zx*x)wOfF1E-oN$iy~TJ(2`k}48JXz08y~+{-}p&bzMj>x4>^xIqi=0mp(05;aHz_0 z`o-5iclsOK&>af~VzOCQz1NyT#xB`^?j*e&&O1r;?GELv9gglR(ROk@E??YlbGp-* ze$j#?V%P({3_P44zaMrJNfWcBdz2m71DU>7fs4w9Qzr%sPx=t^vYTWSs zxq|_e`;3jMIH&TM(}jIPNixIq!lzb=g5|BvPK_b&W`>h*?u${r;hf&S)GD$pHAj5J zZ;E$|w0Gl4UzY8FwiwHbW%h;C4_mtXH3m6~HJi^-x}*SUn2vNmJ=`kPWd0sMx35@2lXzuw{b~QMJ7S$5TsGZo zbhz7~#8V)A{`cx_p7syEbN0`ueG?T`Bq8OwhR$}6KfRNWx85WAWtjBL*`jh?l`BN7I0iG!8oz|j=HO4PD!%(wv|>ht6mwF-m?PjGNO2ut`>d!@0Y!CPuo~gFNtx_nz(rrk$*q$R@ej^yID`PmUu8q zUFx3wE)40=Idk|!)vog=+XVNGqQqD!i}BNWk@|8SPJpbn?&Al|^fE%X%!5(kJRaM6 z?qlAb=xgr}c8Zh}g=OiC9QzhsQ)_(a=}d$6$+8be3Hfpl2H8I4r>a|USo>b#BBt5w z=DnpnouTa_7$>!SzlVH*-CbR`-R*>6g$KjUT4u{_|MH-@H*_l$aE}Cvg7Tc@zn%tCtv$jNVFbi5koaB#(LMI`?a&P+ZCKQix2AOcM|eP zJQ%u;zpdVJh8sIV#yTkB#u>zxBk7D??1929J~*Q%E_JhI?Snc(u-1doVp}YjgTERc zbjmu5`Z-q-3ANfpLLG~7%%<2NR4_1Ohtu&>8~RO(iM~1yM!lPcUD+lE`%zS<|NODp zC;amD=?p7p=T=2e!%t5=B>DqY^=pXMdJl%CRY}g!QQgP(8B-5$j@ld~1RK&BA#Cw` z;sy({B|bj8;i3~&NK`ej7{6?Uo?JY%+uymgt9bJ~pBCc%tR=t0e&x*?yiwm?ska0; z{Mt@zIm%-6yYkk&yM95;m)@0mKVGAp7&@BH`0bkV@WH*X3zc#H`l%<4n~3m679-D1 zR-Bf1#WCb@Y523twjX8t(jryIN7wfLfkFdHnrm66}~(I zYxiJab~|09Yj5YVH+GD@{8oLM2yahkggNiBmz3(fUO74MT{WUmOT3@8oUy(a`B-y24x}RiU)jUYjmw^Kwn} zhraLn3E{isU0&NpnUyVGc;R{$Gr;`-!S2*%YQ35@83V<-L$?YNO=ruzCv<+JO-)1QgQq+D#{zOw>lwt;p7{9& zuH~3czWfr)&niVi>+TR?r#u;HF6I%5jU|yz-kF;(Zv0%))^}<)@jqGEN9mU>Ild7o ze7cvORuO_{JQ#0TtCUV{=F1)n|kp{cPg;S2*~WI0|8t`Xe+BzD=ab-Jcz2Z+M6 z9t;bYAL{038h#Q*Pg61)79AnppN(UDwppBKLE3+dd3GIN5FwOHB=mVOd>w^abZsUF z(~=ge4lZq~A%^9gJA_I%l+ zHF_fliuW!Y>n7fx_h5Xt?(M!Kd8f{F_lb^c??&p{yar|`(|4fzUncB@3;8n5x8 z?L_N^P)3|hvvTFF-FBA+F6=p*KbcO*UyNfsW6N2roNAZC3)H)x&UEw;RTo1U32rKD zy1uM`vF+(w{(``(?QMdWv{8ntjpQ}wID==(y%+okkHu9HUY9)>$J|aOjfpR8Q28Q@ z8IK(3CR#7YF%;d~CFJsI9FMJxTz)Gyri0ME;=y>umcMuXY{277rL`}DC3#y-h?*&q|EK9UduQ;Htr$%uBS67Y!|!uk^^VE z$myEa%w64t*C0zrWIT-S_&+C09c&Z4p^dTx?L^a5?7S78KD#;QHGksh zD0^U{R@2`xE}~LG?qF&9Z>!}dO~KmBRz5!~G{sw0Ph#D;`fXGH4(hAjLO;i<&k$Q~ z-eCDT?ePg1m9LtbOv#WJO)ZXf^($|CV^sBxdQhi6?Mbr-tNe`F3KzS}_p6uXu)^C^ zYy+xSXn**2ZMl?~>er9$MBz{`OWxtq_LF|vTeZ>~c#kHB9n5iFO_xzSY+;AKP7A<# z@;hDqzVDCy(cQP^@jRZvoUNVKA!*0?w+(eI(M_IiK6-{IycNnRu)3P$*1ap{yLjNO z&UryqyKLhn{=0b5)6wDC@7lz=-EUYsju}3)I#1PYZF-(E(m7z?^XpMBAKKFT=xK6{ zSj{NA@d7lVC1>WZs5U%3 z-~EPjlwO2IO3;&~9>J~4oj(Yb_Zc}1mmMe*-mXzicWC!Vit3gvdT=8!GiI#T+3E1mL2*yk zo7^?~(C6t^t-kD%-$fd+GZXFtbsfH=r=K}WY&3MC-p?r3xn@p(n2R}YKA4w?-t`TZ ze~|0AzNgVX!muSakhW`YWKzJpoNi+5K_w@{J>kK&Sk}dKC*M% z;O+>46WYNUhwNgqHuMT>jU72~R&B!I;8mLmITx9Cu}&xYt|X74N5yQHKN-s$R-nE+ z($^cFaO#_DiDT@rYjIzCGH0t#Nx@#nN2Rx|498P1uU0;l{9nb8-Loj!=>c=aq^KZd zXDX+z)``$*f4*YvRdRB(!Y}rYc*o&aox$dVp9A<$ZVqd&v|zv5X*~Wd=eF>pq)@yf z$J|bCMqqk^g8GPRo>O|myK+itA<#X*kASv|YhZ9b!n)l3n+OGS?A*pI$A z-=ixurI#Vw5{k7sx;Xj>%rhJOlpJ^NtmwkBOc(5wQFltS{jbZN;t$a>5r-QWj`|#p zxpk;@skrI;T}*a!UxB`%{$k_#_?O}C>|UpijvcqsNAv@{(XSdY^?I&nfA5Je`*=!* zuBXn~v#hhoR_<7LVcXfQksK}a$tyjz8KI-c$ z4(wwe{&^_-zlY@$eu&GGu;cr!TwEVq()_P5TGNQ*_d2(D(hb!b^{D9TkXKShRbzJD zwc2@+k-h4-OZBjjX7B3^1Ge6!v-yRZ9u4aY+sEV!t~q@=f5vt~XE5|~ywtqc9V^^C zq%S=vF{5T|SCOv5b8B3uue_z@4z3a(GwC-GZTf9<`?6s5?k>N5D>5JA!p+AVB@KON zAIhaEU2psOXdIQ@X0u+w%DFeFvs}DEbMjDouIv009k-V}TqnCw>WAZk{RB4Z!T68O zxi2xgB+=oa;KOB3molouM^TQpjidanLC;LrBiDuHhK^=eI1ZY2{un5|GdQr*_4v2l z?GDF&#eGw|6Q@>rQ>E;E6|dbnqcw70$6dEk&+XnOS9aWa$;sd6O)TQ^?&JVxa%DKX z#pLP}2Zh`V(dkd0N@fSz#>6*iEMR+fH17!=dXbaH!VJH=in}!|i5fSQiL=_W*Uj#= zB5r#6`Ijw@SL_cpOgIoC_k-?!DK2U6t4CLqaBO<0QmI3y_NR8oA_qL1z1eLahmc=i ztjBB~eOM9OK**12bKbfQo~^btANj8+bXk=_efwe82lIIC+h3ihJJzIxoX+0B?ms0WbTmD>aVpT3>rUSlCghHsqDsCAO)TI%c6^Lj-Q#rXVa+s&~IRMyo+%C}H) zp~8FHEJ{I5Y}sS?F_ZIy4_5mwESOijskxj;cpl0)?Oy)g;mYn1`J&hpdT*?^5o6Dr zIUgL=4kzlrJdu~?`Tg*7%k~`Ck%(@e4Lix{_7#;Pp}Q~H*FD)9X=0b^c~d+w<#N_f zSDlfL_KZBWDRP#Nglbv3jpfRHJFusjw28&7>5)b1uJ`7h{3!K9>DXk>!9c8e4NLUD zfy#!G$J_G0mgezq+vb$pf671Q-i1Y@$A&Km>9ilWiha-=Huf`O=G4ot^gCyMIGr2x z{UZ0xSmjRlkte%%GFTVHS9>j5^r7TKU90N*PJ;bHTQ5xyx8n*;|p!Z2^(I8<{{IPuNi@9X3T9TiJ=!P&KZZd2TZozsh(Ug z;W~2uc;#o;>nDEO$yqfYZ1jDc<5n5VdUvyUIPgqIm@!F|XKec`yB(sLQB%)iL zq&iw1C7ho2G3MVlO*yvAL^9jeY5OYb%ENuK#<3%^BA-0l!>yb`qNPWk&|O&ASXfW% znDc+Sm!tRX-TCrh!XA(7CDYb3u8y@~pWeNQopjQr=6+_~vTpP&Ru*&TmD7qlk)LX{ zcw#(ZVg93_mzzm`-N%T+SK6|xt!hOl_{yU`wfA2tHwz+!9YXRd4*p*K>4)Tn_Gf8_ ziLqDO3`5o_l{ZrD&t#o0EFL;Q$tC1pvp6meuM2{k9Q)%l;wF-#B(g3!MUS`a4a#{o z>b~z=uWfCEEjkny^y5dVhgYt|^3uv`+r5|T3K%>!G$Ptlw9TzXL`$C^LZKlaSjqWd@F$;e(# z=3)CG-zSU7f#%W7$YS}i^oP!34_leXRWW@&g5D9?bI~g9lxkkjFXJ@hJEyDGZxFbB^noWV=OIpVQm<{MIqInLiC|33V)tdF&ge zX?&QYP@kVuU23Zp@Y5m2Ds|(tck4=K&Lp~|yNI-fn!Z*(ts1O#c1N=9qn{e-cTOt` zsI~~ppKq47%PpVh|BYbHw7qy1sZpcmbZ+mnE}N-eCNqjFGmt_b>qq)tKdGsaDwK6S zoI{)PE?Ezmei?uG)%fZPf5jn<4pBET)tbR80h*JizptozuqEFF>S{Jy%XoF1zAJdLkgPc+0kkcgCMK zok9fdhPQ#)rP{Y(D;8_hrksd;5!t z*7w?+wAp`dD*kfSX`Kc(^J}lmo=m$H118}iA>03tvG0KA>i_<~ulwfxwr4{mvq43h zhO+mFc2X3TN*bD~w-E{1Trwgd8A(ME4eg~tr4sG5w|4*YdfhkP0)v^tDCF1iN)`5#rA_nZ;)M+uyDfN!754^_b&quj-qz&t^u%r?5+Rw*`uNm|hFWUuR-B zcmJd89g%0B4^)*H!at5|ij;?BmIa%yn>*aKsdsdw>8N!cnk7E^L1ymT=Zre`)yblF zotToH+Rzi*M<~CD9%R?ZTW_{iVcX6D?q8q1*sJ(J`bC`-CDGt ziN!gWv#THcqZ}UH%5kEz0$mC5w+RMFc);%XQ(xTq z^5!|sQ{-xzrZBLw4P8emrU-4;7#;hxuDpo&AgVW@Cy`Nh7^>4)0!Zgz$ zqv}6HENeB*t5_Zb*1qgd+CTh%d;Pis8X%eE5~mmzMwbTl22`iaqzSZ&Kcc`JV3_>ZE1! z{T*LEO?P;%mw&V% zQM50~_QB_klNDc^w$@Lc_Ph4*U%S-V{pH5=jdd6Iq9%_$sAYM&YShTte|Kp-uiID< zQWCHE-I(ucaZe{ED#Iao$;ZczFP|J-?zrx}l%7i;`){T#D_iSQPB8x!{HdP)0e{JZXwXaXd z$L4JB>$tqd%gg;Bj6hX=E@zZ$SQbyLu{3Yk7TBy-K7F)&*(PIu2jh48Lp(h9SBK7W zOpE{cJ%+!_ws`@4+vmBJ$FbuV!fu2gw2~Q1{T@3iK+bcCvuRL(fn(9aK07_~GS*yu zI4JH~$^>hRDVElwJkySa%+hl;3M@4)UwS<9y!1Jb=IZ(1o|`_Z~!$mJBXfgVx0{DTuL`8=nYyI&W{KV1AN zPNJ~JYC!rcqroNL>dNzOzA%mHXZG%5y84xDxg}F}*ck>CuXJ)5F!@LM<67-oMXqn< zxBYa~9qxI$p}1-9nBS;oR?KK~zm2j7HlKNTaYZ#<;g>$qAujG#YwUSZ#p<)M+x`|U zwRhg(cj30hxctb|{^@+>TzfnDLy7h|r(aFjd`P=)Q{E-Vi({0BW#4YH$YN4lPUfC6 zaUK5abI`jTX5N{zSE?t=*zQq^Tz}DL%$t6}PIh$uT1$^v)lM>#)pmL$m?@7+ziKvf zkck1xH7R^Ig~MU1KiV zG}k}#&gP>xj4twyMQWPKm&#AJuU>sp+s>@`&*A-+dnfAWXpIbPT3bCc%_8oq-N=5n z^oz1nva%Yzyxn4Z+rJou&ipza8qnWf;ahO(7n=oZwlD1yT~VBSW42#Qu$k$p$De(k zTRW|(%S=B|Uv$y&&C{s|C-3dCaj6tv;`gUy8S}TV%o4rrUEkS6r74RXurocAzdi5P zLEWIcpQ46%Z9Q))5@Yq~`Z?D%#~Xevx5@}H-t!_kLm?t{dWGkhk+=6sSlWoHty}Um zbjeEXU9#4N?;IT?dn?Skx@l=`&q{;1_!nlQT9k@@?Bh9Z)tcu#B|Fw4dstd-UE`ls z(fk`W#%iTQ>@QAyYNnlhYTBtovD=h$9~jrH`*iz$^7i2lKUa^c-0EoRRJQDFX%F-D zoWc*gb#i%jcUKii57FA=$&4?!mUU#4*(W`PAEiaF^sc?}eh?q(W}?A)oo8_9*(9Y) zAx8$Lh})H&GJ0Y7#etJ|Mp~1=$=$P~k1er@(io(+ z;1n;9GF!do$kD+TCq6y-8}i$K^`Pq}vfK6*8}F;JzWmEOZSmCmaV_gB%U2;3L-Tu@ zg%z(D5Ot}*zjyD__}ZdfR#Lue&iuUcq(W?bt$#wo6U)gtW0B!8@3Ssi%P)P~al*-V z!;@%@7wHudGU*|ig*zPsj))vB%zgO%)QP6)n~p1+oJcEmTW~GYTlf7*v6R$hPRZf= zn+mh#oyQKCI6=K^i^ks9aSnn*UN?d#RTDY@S(A~xi*PAjHJ}*6ZsgWt>*Fx-M4u_A* ztC{S@@UxFRFl*`i^RbWCaepof{5CIVvrUwZeCVzCL!T9&%~`!P`juTPrzYmf&(DQ# z@+Lj04O4Zrs2DP&X5{gMmoEL)GO!85ehm z8Er3FXjowqV*r&nC= zvAfoPkEIvwrEU#Rlk7J+YP*{`$IbXezNq`pAydRwaH0#X-X6d0wZ-_-ii?p)?rbPt zWM#9iI{o&&JWX-UQ7r~vvsaiozwx{`|9z6->{raMv@9>HUemtiE>`4zd^=|7!*kok zjo-W6zIEn%&Zt5gx!qe1FuS>En7f9BiTMNL-u-qh%L~}q9Gz3_V7`Ar#pu7+W_tDT z6Vp6cZ)pu zf{m=tNg5m~J`fUmDqC%xc`-8|YT{b}!}2B+E)I(~6URzn8!Oy|EXEL^|9 zanzDj5xWfuFN?H;Puhl;iTY0~9OK}(c-1+lVL=Js`;H3OUhQT1>Rw&-*FDi;69+HmQ1Jr9z*W>f3zss~G5gZsmC1&f)-N zvl!lB&B7L?^Ox4|`=fKD&f(Af{ufmDIBGp;e%oN5a?){SYl)Y=M%0sa=icV&RF5^8 z+`MFZ-^fu_##JjNzoi*k?bs4oy;)Iox5F3*f4*7BMEl0)%6-1cTu#^58#X$jc&SC* zxWY^KUZ?WTnLN>&Rv2y{W4cE|{dcK%0_8ule(P8ZP0^dKi4p0mJ}MWRKTn9VTygVG z;hzM%7p96Ki=q!m8^`xhiy8Xa=7pKbAC26MEIVn5OJfx?m;ZRC)%sAiqQ6sKb9%j} z``k5?dc`Q#zm2$Kb9K?h8;V*6zxd0x7N3n_6eQ3vbtMZoX8Ej~CDkhH{1+sr#l5t2 zIM@^!AvM=E?0X}O zDFrV6CxKTD;@_gSHonR@7o&)(gRLF0Amj|ZO?OL+b# zmdf1NUTzJ|nOW~}DNZ&u-nu`o*+EB+3eji6qJuWsUGAIqa8}iK2i*yt?nTMp9k<`T zbM)(_8h=GC&n*6~f@mvi=h`8&wuU~BJ7?avD#Xaz=0ep$3#pdGkbWQT`Nox*&2g4F zOCP&xiCDw(KhQP^<0xp3jRRgFrVM|%S69k~mbMLRO2+-4-}Sbfh^vR6q%M%=tp z^aic?KUccwKwTRJ-Eiy5^OkZ65u&qyuy~Uo=UDDjKy{AQI2KwJW$izuO$89nWna_P5 z?oes8G*l;U{&7|FhxJ}jnsMKL-Ckf6p2r+?G=SS%>S&gR0R!f2n!otKq=P9Kr8Tgu$TzvxQL zY6+KSZ;4U_ha4D`@DkG z1!}Lk`$|pg)8Cvj`X>HPyI}0ru$>N$AB}SN8TzQr(!al~y7s_Wt9tGk#&y`pmp{|J zZZ+gOJ3df&?YW?)C}0i$?ZSYRuXe8_d{vzFPaQp(+V>e>e7B^nx7g@!TT{PD9+BF& zrn1z=I@K=2^Vrw13Ezqpe<(!swpe;{)tt>fZo{>1ibQLsUo)N%@8U8~^HFQ!+|$!G z7D?DT?@1UoJ^jo~#m_OTH4g+>BnOOLAo@{OZn66Ol?#g(nl4>CcKWg=;|=}$-$)o7 zu3#E=eb>BWTSu#u>J8!hyo!5d9HV-@=0*Mc(o6B9q_1aQb5yR_kZAF>hf0xs=K0{r zD)X8-vFiQR#S`}4S^sTw#dzb)JG(7s)W3K&G-{FD{fZHG{*rRRR_nCIr|*1!C~40# z%U{>sU!G}MVRWpQ$;@}#zuIl_UifRtt2=tqDQ`AC3P^Q2>CwY(NaPB7;u8LWjo}d@ z_VsRVzFtAM(<(IHHy=K{$aZ1(f>$h61tO3^P=!WXkJ z#>+;{Ww>or?aR7tr2|ZY9!}Op1$Bzf_3BqQ7JHbS(h_Nit{gS|Y+~OfQzP>n4vqP+ zG1D#b?=QPuRbJyBh7?QZ!morNm+1BoMbh^zn*os{gla#@v^KsA#Si` z!?uxuzn0x7$bIiKWG3yO4KUydsxb1UsKCo z8ZpbBT@4O$l<4i&m(neda$UV+lSDG3DTjs)duldx<&1I>(<4e12b$HNS4P?AZy6qv zmvQTk?P%j`54ILM?)|v_hGBD7=;+U3W9P&(yJaD)>m4(vT>Bn)%u>m5&wJgpLmZ1g zueCMmN7-f{_D!0xKIWO_nL6ni(hH?b`z8(SHK2Nf!|;+>lhey58|R2p>8Gj-ZS_hg zKOK5{&jpQYscO}Nn@(AKxA%*D|M2t04bw6b_x7|OGmFz=Z|IOErl%U=9L;gczp(S% zSB+H~OLucp{P(6JT?l;T+nT zb)cX9{X=3yBd(ZM+>scxb$Lwe`Raj6zqa;sa@v#2n8mGBjG6j7;#EnDankSCMtw?y z*Yw&ubm#qpgB*^X6rtv>?02Al%grMpyVu*#Ub3sQ(xvZ#z~q|qeECutORJbhb-N+X zIu9rJ|I$Az#%`;n`J3j`r=D|SWOkSayW2jyqPiwJ^nIzaxMRWN)C?!7`d^DSF0(J4 z_15=|%T86}QzMk`Ee>oQEV=7-{K@ihP9LqfF)gO@qy74Y@zVCZedWg?ec#U7W5%~^ zp!Ywvop9Z-a)|E^lTYvaC06X*=CuFJ;@Q44muxIzR9_@y?6MeWD5>y3OMO7&i*c<+ z1t%>vIm)XJ@LWyh;74`dADm{nbkVxJG^6lQmgs8zuWS#yS^o1=!^H3RioG@ZbX7=% z$pEA4RWWBrpYC_fkrS4C&g9E|sXD3g{rBhfNUk{DSYB$KI4#PcRX6_8_guf$cg%Hp z$n|SY9g_ykdR+rQ1M6lt?DZ}!izu(PE6OjPq?~*BevyvbYEe17;oILQEq$-=?;T-w zOwP{rTgj#t`y66L~$l0O;jVkjkW*MdV+&vO(`*+lnh4TW!jQ<{PJg9mr_2Jo9&hHHmSvdSo zar2OL*)eN0$1kTj@~UG(qq*LjlvKkkiE$++!PD*Kdt7|3X0XNQQQ@(|-T4xh&Bs1Z zTTg{LuCdH_(?9H6$i2Z=Z^<7RO}94={wDH+R@Fnn(xZa z890jFn0srI*RQC(PP^6}mU5V?S8-7OLH6pn5jM){Dal&B3gpHpTkWTlpBk@T>nf=w z_N6j)b;&e|h>;fJ=A&zrB=}(;=BQpW368S;!e6~s_u#LivNeYMadT5X8EeHTrp)I# zjJOluLm{N1r}fc%?t9PXCx2%RKV)B#a@Vo-MOb{@finlj-}+=4Q2Nv2$if+hI-YaH z8~tZH(E-Wk+826!zWZpr(drjPS0^3Kw$!T}J)mXniqNvv)!RQ+oU$Ey?v_o=#BDqm zwQBnd`?;2z9pW{5TN$4pJ7B7v$e8ls#v5Y_XV+?OODxC=Hs(hCv?@ChrFrV9!s?^B z374`{w9UUnmyS3f_fg}~w~{qA1$yuRLywqCR;1>53=CWl_O)c3#@3$3w=913A9Yi& z);lb4(hz2<-NG+JX3JB?uJ3EpD z%Q75`L-$#UEYeMR8a=qwZ6dF4(=HE_BQ+YPixpqY-CHxG&%K0c=H{1sRnNJXVfy7M z_sEix!&Y{jCi_p^IrWF1_MuBNXzMDM{6EK!Ml{BcR&BVM*J!)c^~CbstuI#hGzjr- zjV*GNj#6Th&uutXjo-hLI=f8*0?uX1O1qQ!&a5r3i%!D;-t5hec7 zAM6q@%dMK5=Pfp)cijB7$!2!;KV#?jT4y_Z*P?2vF@aa?Z10S`eB)b7sn5-*uY0zS zwK0>DUNHR2#b(>eJRhZ)#a4sRyqF{0bTLlGXKj}ZD;o_R-8p(isWX&9$MXICN{*Nn zPE9OK4xNR*O(^g?l{Co7(Mj)z%(L1Q2YP7jt?DTAZI>No9U>yVF0K16ex=j^s|QrXS<2mcGER0w(?e0-jCFz8Gj00WcpVO1 zTraaBA@tzlee)fXF68?@ihh~mzIgM#ImHgP@4XzpG&=Td>^*qy${qpZoeF=Q9D%%4 zX#?L$r*{VfaZeEE^UOD@I$z|&sYfqXwHkjoP z=C_(p8xz!EH8)KA`OQBKp*JgnQbry0vT-&$aCnIBxIGH`e;kYV*P2f}FnrC`i#KQI zO3hrqGfmI-$5%hzOI;oD`aO^C9xPaAmoh3TF+6enB99)2Ox{<>Tbx<2N^4|Y=DRa> zyr|H;!FKyxt>{tjmfmYQmwgIc zE#e@RwHQDf#D=O1g8nQ+VCrPGH0v@1;tw{IHQSXk$9@%iE4-%S8zBCJ6 zG&!O{X3%^)Mg3hJL9P7@|Sv*st($AFUK_$YNe{*HTXX5u!MDe)5Ma{ z;@j2_j@LY#9eBt3a(ICFV7{?cYw7R72W@hi&2s(URhjJ_ZG787?!0G+t&=tNAU*B+ zkn%di!hU|tRLd7Wdc|8sdVgQ|&gA5RENR=F)5e7-8%q6g(j9C+XlJP7!>qg&ACh#h zD_#83*LZ8Z^`814`_6J?|5%0BDq9BLaOyET;RszRe|C4Mq*v6T-8Kz#!zB2;M)glp zuOA$k9X89lYKD>2vZZughUBljt3k20pOtPeY>K>dK{GsV z>_u7?ZIb*YYIJZ(cIe*zBRGThXPWumNWMQwbirxQvF3g)M_*b+x|v8@oX_JXLM}HT?eNd_o^GOM|mx*4~k!0 zw%MljXX*BPuhqmHjODW*g>AGGtLjs&lrrE(&?d1{cXPRBDH}Aap5zYCJ>~LYqH+9b z^Bo~2{%&&f@;5$^9YeEsAvH-G=B+IDN0LDU12 zm`nU)<~QF(RlrXMj~lQ})vV`In~%QD6(h<#l;U$L|2Vx%FcNzLk5;=*A9_P8{-MdI zsA{Q6!BdyTP6!aMkDFxYb>N&`-;Faezb?G3vm$1*=??=wUyZY2Qq&Lwi6hyYty}7B z|5(cXwtS^D!Yp#@R=dl7nSun z$vAaF&XUH0t=TW^bR2Ayr@bxbWvSWZX&q#ORM0RvHQOJe^K2Hs|6JiRuh)p_;mj$Z z@-CmnoNw~+S?=J>+v|Fs=o5c3Ia$@I zr_$3Cy`vTE=t%>f`IT(6+iGz5?aG<+I2R_YNWQ~Ac*smmdEH&LC&B&4jWMg+lYZaq z%jWv`TJbAFmL7f<(6oK6ZAx}aY_VT%W5SZtO@0xwwhyGf1nK{(++w4f_G#onX@@hH z#q|v3%2S_Sn>qXJ-Z+Q#zQd2+Tk1H-BjjEW?@Twd-XkVbRu8gDD|#OCQ4Tz3{9#^t zV(QMP?mT&v#W&bh?=YuM(|60F)Ta%Pq|Q4XDK_&?Qm)ncI(n1BwyUmdgP)tJKKXWR zE>C6V_VdFeN2X}lSUnm%Si?=m_C%$|j=0eA#u8KNE6cV-o7pPAexFb+Z@SOz`fqv7 zbhx+va{jVFWNzsHqBP2hw_#u5zyQhOCpJr4N|HxMulv6G;Yfa+&z>Nw;o&V_Uyg10 zow6)+U22h(V^eu$lK!6!dz7`D_az2o*q9y``#c;)Eljv>`M@zD+2-@>N9M9;U0yq< zdAH`4);Q{1S}ZQV-OVj`?x73XJ4|ijmfUNQu#PgBq!m3#JnEhu@9-ynR@tHVTbJfG zk2)G=eavpoCdri55_b&EBj%h4k+sx1te>nIyJb$x2dxL0f$!`zZ)AEY%4lST7~RnF z-p#c*AAH^Sx~<4w`|VjV)@d=03#UDb4Y?WZzDSbZoRL>Pq8}QD_>I;X22tZg7|TiZ44iZjaWy zJ&PQ-6-StC%({PjN%nVL+qj{QYAMT4HZCb?n0h3)*1!0WxyYqpo8bOyPi1VB-Zh_x%9Ae~DFw=Qr?SMUJZ_Ij^I3Z$z-D`#Cy*<|?1T#lZ<(1}@ z$El+dB)vBMw$JYch{FHFn3ylLI^(N}K8bTF9wkk=P-4tdEi{8KPHlm&g9K24R1hUa z1yft85Gs_~Mukxllq9vC3a28dNNNXs1Ob*PDjG_2@*!XNwHVk&lo*tvlsJ^5L4=|# zL5!lT0F0t0P#d8fMs0?26aZ6{F;qZNlc-Hlj->o44n+-T7HcClEia%bQ=U-vq2@!` zi<$?eA~hFE1@a@e@{F=J8az522sRY{EQ2)pP_GQ-3fr=jJCr>r4=8(*-#6<^Ere|q zY5|nJnG$W(huNl$biJfup92PEp_ zaIg$T8G&W+Z~Se8(hMwwO|czHYp@YT*%K={g0(2hfr;vmhV#WJ26om`$xyDNQlNCC zc0y@Rr9x>&r9o**;a}l0p)#OcMeTypi^_y@AqAh3gof;faxs++r8l()$|Y0|luM~x zD19jW12?|ZUMN>k_=|KaDfrGZSgQcaxqJ%$5Q;kmKb{9Bg-=g`E%rm{Nx=_JP!<&Y ziZ^suDU?6Y>NJ!asWVXeQ)i)crp`gRi8>GEX6gcz zqSQqwS5udu^rJ3AxrVv|r37^q%Joz&lpCmPP}1O!ofaq9!@YPN4&jBFFc2wVZ=~v2 z3gbKwDfYyK+X5Dfx+6H`JrFr*(bPlg5gdNpe)viI)>8rFy@6<;0mgXQe&|*E*6a4I zH)IP@Z-Mh&+csk}2o1;IkE6a25{LRqwNl@h#6f60A5njCK(!$t)FuT~x}C~t-x6ux z5*2V_d2TzEC!m4`NK9y3TxeUOW1Ej81v?l>TL9$2=$s+}%hAt>uE>bhQ4x0!~hEg0kLn#5eP?RLX2}6pihf*3%fl>yIhH^FNgEQd` zC`HjoD7jQa`72#yg!tIewtykQzrjEh6#yooAf0@HGKBgJWf=7d$_VNslu^_NC}XMj zP$q!KP*f822FhgWHIzHSa1>;XCMeTTD3s~ceJC>!PDZ<^S5RhwM^ca}TA<9KUhrX+ zM?Hr!pLzym0reEhBI*g0`@zF0i2r6N4^n@iJVgD5@-V_#t&I8wWjWdo67#tVWO>jFLpbwzDPc=f>NZo_-0d*J3CWusux&?m_v*08Uuc$wi4In`Q$4w~j zQFqE?ha#S=!6$Ut%y*U7RNoczd^}d@EnnaXhasc}B2<94*afEvypT02qy{mUCLjZ5 z&neVjlhVPy3kmTP!bu0ZUkogwPN98dPnXhRP6nXfOr|FiQ9w93!lDax9H9nFAXUcs zAQXv4Xo!Xm!s${7i1&g3M=^>n^ zDJ(}Aq;kRGj45=S?CHaZi5TZp)Fa6OiS|I}2$j-@KMI|vhDo3^SOpbkg)!oy3(P}T z)E^)(V73m(oEQRG-7VzBe;_XiLFzClgh1+ahRlY*0xhe$g}n3+qz*H5BhqA~Opv$) zq!(B~yKJUu3+giv)w1lkbP#NkHM#~rHA4n{pdx0v2^z@5-i=TlW3m>s8i}xb3N_Sx zF45{LrovQcWGCCs-(JLSn<9us zz14o}u^gh*5qiLsOh8I%P4J7jFt;QNNdx#eYKD?V578rLI3M*y;fzlb8mwiA2OUuI z6WWQ_6m5`0l*EZH_H71MsoHeE)dXBj|Fvq|+0hlHxVt72KozTgA zcn~6FBak7!_?~U5{%mZ%kF5D7AUtGIRv#Wq;58-La~5gpOS0D$6AyB*7{Q+a^P@24 zXJS53>@%~=7!+#}aCcS=kD9Oufqd?;m-biGioU_&iG<1O;y`RJ|11Vm{f z^qm=Q0-pDSnj{9ND58W>P#}Z{IU4;!zlp_U!5LsUZ=WMz%V{l_qm0oX$^`wTOlg?C z(}*&sY084;P?od^Wkrip)-;#0p?Q=oEd~RL6=jDkD0^g1IUq~Q5n1EfuxfZsiM;@8 zs8d915n7by(Oi&nf-?kK91aICAM8!ZLY z2Hk*{S#Y{VxP4jfS#7pzYyImPLfo_AyohjnvD~xCIi^tSUwszho&!-%xZPOpIplOz zm^(>`dm5a15$@?M_dk|>g)?ZI-ZS9TkZ?N@ZVp(MSz&>C3XCup&L7EP8**5CE;(x! zHau8UV0e5k<^ZNTG^72p#EUv7BNgzvNWgW1x0+8{HO$g-~B=B~Wsc>2dDDV`H zk}R!=8Sx1r>!-%1NCjiyjNJv}Da>8lG2n$$jN$8y`pDpUGA!U<#MSjzA(g%W(FP8Mr+XOAk{ex?>|BrZU0C6$4;;sWB@z3K9;GZm5 zmjL5HerAwk`{Dl?QO&H0adUX|U|N^fqjhL~dI*^4pNJaGwyG_n=s|2kArW=L5pm_g zc6jL$QiJ{(yIGJNN&NFnb~H{~ck?Lfro| z!kV@aU&kHMDZ*sN5K3EwF|WLkCX5*EbCm~hY5+09$_Yi60&Tzw3ZhE_4QJkZA+=7j zW62rD~nfjGf?h|F3gy3AT>m_brB zJ&~Too-au-a!--24&{MB3y}Qq{10AJ;{73P)G1OCzDDZ-LobkB1oJIp%!BD<{FOjv zWG2u9hF}vQbI1^kT>v3NGW+Lvzy_KE5it(Sbp@2A@c=~V?0|lC6`WwpKEkIDL&;Qs zkOy4TgQY`3tvf_PocRC2Q=my}gcKag+RmJ|pe=!aKGSn5Qd4d-7*H%Q3@l_#TQhR5 zsHeCM5NO(#wqs9lb*CQW)eai zhEK9+b4=~3qYEJlBRaFW1TF!INzha1sq{2pS;S16j@0^s8@4Sh8onn13xe}tg~%9?B` zy|gou51f92$=tg^QUsOoBBa~W4wEU;KJ;?h7moW7F1TnE%=C3beGONTeNy0zffur- zS5nKx$ZbEMAVSAxS_NA)y_)u8Z5M(M(rbwAw0!9`m=Cb?@R~xo$wXaTExyO)D{RWO zga}knfL;f`T>>b?l$`lUfqCtUlmTx&X6nGp`9<*X0Qe6co*NQsJ06@`V!vip+{jwg zm)?lEgdp_^Bx{u}D*9tqTv0pZ#tw@Pr8m)=X&9y8#vfh)a*y|FZ=ZD@YrQ-@{5eDL4z5bSrC8KN{}}>FW=12_As! z#W&adgiVTDN~q8cbSSk}0#Jxa`z-?R+{SvRAB_WD2yx>ng18w|yCUK|OQ`LL+k_Ce zvo`gkw_~Obn{IeZ5I13JSHy5a1Zq3t_70m$&=GVby#rX*F=-x1*)WRb#^pr8CRx+b z)Os;;TNkJumW+WtnvSL8SW5=ugLFJ=$qjToMzH!&z+NSz4QCK7ac%gv<_2L)CJ-V} z!CUA=%3lIdh%>Q}NvtV1&`FrD19A+^RH1VsiF6l8<{!u;VaQ}+OU?#5nQ>nL=_jH) zjFf*c;Bt`Q8m^cYy^~I*(}0CFJoLxfX&$TmICF2DZCBBxy3R*3K$50+(V49A zq+k~z8)Cdcn~@E!8bjhW#j8!FD0Pa|26GX(`%5MzTrw$CL{1*}E!aYPrg?#QPLF`w0ky*hXecLG2~V~W%U7ZBl52BBf6h_C=P004LKd^`$ft`jUkBtR$cX@%OQgF%?k7ZH$YNp05;nB?bV+B(3)cu_PIr(8{(&s% z@J$XDtR;i!O3c*(I3L^= z01pz`&VY)?{sAQS?_fHJ5vy232GLcW5#6B%KrHPJvHBmxDq%w&r%%u)fn_U`vIGpt zwwZ{GWd-533M{lWwLlDe3|=}6Sp$1CeVRT)49W0)LCLeM9Yg4|m=~JOcBOa%crYl5 zE2H4?nGhj6DxM=mpu+TtomZb_9Vh?}Ao@IO$q@QH=IelrQy`EhFtsb>1ws^tJTDA+ zkxh{y^hL&f8KlVL-Cd7E{-F#0y;>wugAeGGkixjV=^|3H%aj^rMp z*gU#{zDwT&7Iq8=$U+lO&s7e-%k@^QBJ)@>*SXJv;8JkP&92$W_!jnPhfN!SK+_NC zCf26-IHH?bhm4?`F?)yd{YDbyu@V$Ks}&(^;)jF?)JQ-ebMt1_dsxUvtcfG&N0_ez zQe`xOyi26JKtBEl@{us)6V}8L^pnnzN+ATYp*zT@|3E&$kgSQd=x6kE`USACvl6%) z>20vuYmv|p-oh+f**1iWcOAlCf+S79qF*x)@57@!w%CdTw2&>X6R=1hQDS{e$zX`9 z!B3k-;cNNu^E!QG-Vh4D|3QZB9~MYO28QjoK!*G$2iGE)`<`g9b`+Y3IXe)Q_7KG9 zL|zC{{vDyTAxfZ$WUxl!%(5v2XGmw9-r&>f6#eWUocCRDhLDR0t{>=+^d~qJO%CG; zD4v1qE%5Qg1mcaK$stleM|Z60FI0>edA1Q~9p3mA_Gr45{>FOaW_*zT&U#}k{T<8W zYVevu&f%n=u?FxnQ}FnY(2)Iu5P=#8fIs0!b^(RVAX&&?tT)Eezc611{V#{&V5=k$2GB1UTNdwN zt8!@O?Hbfuox`CL#RSvM4kL0zfQ{yea=7deb7CV5F+A3WNgN&q0l-|mrlcBS&^Ko5 zf@l8Vu_@MujBx>gogpRL z$nB649gvKhKimzG3W0OaB#sp3>_EK--3&eRm&kWflsVy#c;fA9GS~d!Y7oA5GlwI? zk>x<-kmpBPxP@z0d+9CnU4(&%G35y)DMko3$(o};?G%GYEy>dEq8vror#U@1J&ARb zHiIR4vBpj1^uoODI!oj86EH5WAD%peC(wkA+nZ2oS|+q@EZym7~%Ha3%qi=?*Yy3)r(!_)%pnc{WT~K@A9Ds1;%YmaZc9+l3WWcO$Gbx@CR5ohZ12 z(Fw;2er00Av4USQGbjk75-hUDFjs=wNG^isM2~h-@KZQe@GHZOAPNdZCcPpe3kg71 zk;n+5U>hr>F+lg~mQ^c~C>YLoN1{~dAQ($wksb%04Ki!UUNt z>ensnwN9*tFewMr)!ni#iV|p26onEyH0hm2WP*syDe7b=P1Z%DQShW2d0y}&^$7ET zRRxOm`avWEyOorQfk&|)F&Z(%sBIF~L?WPDiM$wres5xg^ppC`c7F_!IR_8q3tOr@ z7W8|_>US2Ni-)CMKdDcJq&9Ze)K$_k4)lA-c*F_mC-o6#4`9EjZtHp;hxJ2KnW6D$ zr^+YfPPiltV4wmSHbGy47XyU#`xTFSvW;~NbPTXU@_?l)YkYz*>*y-N3VqWJt8OB( zR0y*o5v4#+ZX;aia}kj#AbYj&DuS>k6O+&=rdI>%uT5P=nwSL))g}mY0MKh+w}SOa zU?@$-aUVRMD9WHw9rbnUVW4&i9b6Hav)}fh9XsukA_j02P+5c!yFzbq(fR3JhKv%xpz^1LIjJBb7k{N6@P~*reA?*qh-gb%7GsGSK3V z_C~^K4}dmx<-!wF#%C9EN$L$voN_7fBc#Ua--5_Q3QiW= zK&1)juWmsj_JICsOvWChEy+&607^uL`J01!iMdS_0ITL=S8W6Ijb!X|u&cHad|XKl zKfA5rcn)^eHdbGEW=k%1RVswZ%oX;M5fF;Tln8_oEFY-%o!Y)6Pq_a_z>F4Hdvwc+ z_6oBOCjlS=sj(Z>vGe}fkm(cKoU*tUp5gm4x?+s)GlS4d&!RrcIn5zSCBqT4uBUJq43;W^( z#$$}|mGL$-0DuGtA8rsC(iva`jJN=xiK(3d0Lel>wpn1_ zqBY*0UP7;eFvAMk?BG~H>;PUZq_>#mK~n87Qbi}d<;QfXb~Jlt(xVW+?;&E)1xJS9 z=>LnuY%UaPzlZ^glFy=y?Tlgp_5&m6{fi>Q&U@R8z^E6Yk&rL1Fw=`jzPv(2Bo9Ek zHKs(2$X+YV-h>0Ne=$jdZLCU!bwanS$2zf=K;Hu@J4^41I%Pje1(%tW{dis`ctb%l z26O-!TLS+R-Y62*#JfaTlV}+7fz_rv)}#Z%oKcYefDbVq12ijC(q|6lr0<6!D<`N{Eoh1yp!=?un3co0r2tA&jy70>NNs&;pFU zjPXIXg9#AG!fQd;!54Iv_4z_%r(-H{aHzkpm?zn#beX1uXe@N-B}U~C$*8Q>5(8nX z4K0Qe=QVh@O4y7~4?)^jVX}_GODG%!NkvFki2*f4lFYmRk=%3`(xwXg0#o5(oc7z^ zfEpl8#K;`{AF-Zg#Kcz^*D@im6X*C4nKeX7F?c^rSV`S-p&k^cYY8ivgQKpjCp)vU z4hmB}XnI%HnMVX(mvIEoiUit=J-bBUgNTe2ylf_{$+Dv`bMrg_S2!h6U(C_&9AeMl z8W@;4uvxE40X_esBT%G93z^XV05cce-V5Uj+s)0 zygDjcc#=q`6KO@HAfyhLc~ga?c;srD$Zj@ysZ11;=0k9}q~|?;?^gkb%NoCC{AzALzy0J%P`1+9d4W6N$auO1PgCbbszi z63~KLMr|Q7WS$N0&Z>0MZ^bFluQ#io3hIq}{z$u%(LbF9qf4_!*G7>3i1q?W z{E9nB)^#fxeh!Q-&AxC}agM|UD<<{#4-vcBt(ew%V)+!t`#ka7otTRf31ySXV}(|8q0v&lmYe7DFi4>03|W?A3z0Bl9ccP7878|`-}&!p&_7LTS^cp zH*7S)lK2lS5{VsHqJlSA@Qnt>?mCi#{!d}%UdQ1ts(~@uwdBYb6J#={z*;g{H(<7+ zMJj^X%CH+q7s<6nyj&MqaU!csm<6&VTt&6fSP2k=7QqBb6vkZPD)9rxVCg=>VtvI1 zl3l2B&P_5w@?ny1qM;mkCzm;YlZ;`kE$A>A>|>%}IIzAEyimf14|!o(bQnPaGR#WJ zyaw$qz_p~ zpi$^aq7j72Kk_|9M`9|5ZP#c-hkr6>>IJ=Xr=AQR0>l;H3E~(cYJ!Y~+Q?+xLZjiH z37HHU!zc_(dw0?+z$d{t;4V;S7eT|@c+_TFaqSkgV)3iXE5(TIMW} z6^3Mv+=KqbNFYrD5RgQfxA%lCV;f22CwC*?L(~;hv8L@Rk;kUl`}R!8efYRGdCXso zIe#DN@x(AQGG=1WqW+9#BkISUhsaBFjg9arn|Y0h$D0Rj!*7TBG$M5f;HXAqBgCXG zAvi7}>dB@d7IH9i@*F@qKIjZ7L(U5*`~o2XO?iNp;`@gTuSqxuXEq@je6No=&?J53qG zWXDW=id5S9CMF8_C`xn(nk9kk*nOxn3APYCpulOP&{CodY;#1T(QFZX5Wvcw!evf7 z=D}0s1C`i6gYmLmg%nnBUiq|tpJA(6r&Xx1$|lpGN?PtGMeGaqV=F1 zR}O8!_&b?P@6lqWb_m@Iu2i-G)dDxz65-*A12-=oF>^kkfm7P=uZ-P94&l0CCJ75t z7~0J}4$@-a2`4<#a98Fn-m?1m_XeSG^?x(BKA@S*U9F?jp=$N*ZQe+S-a?idt|@eI&b zj0b}YSrE4$}vg&(1JBae;PtAxod+jzggsemvTS;ZHEU&&XQizxpl$ z@QBHoWuMWw4uypfE4h=TIoBRVVmt@51LIXQ z=f31_AWZErWF?Sk@!+6tC zJjOf5@LT^6JV$|kojY8}etFzkC=uh$MoAd2l5zd^f8b3L;QeP0XK~$7GRB*WQZQZx zqyPQ?z{69fe?3OXAv3rhC>7()M`;-ECaDCApjJ*QoVC*t10Si2w#2RJ)FVt@|&K>w4579Vx;8O&_jIAxH z6=HLO{h<_r>$v#4VPAhC``PSq$Wrle1QvLv;Tv9eP~ahguL4ROUgNv=1gBH}P7Nc^Ew;vtBc!v@# z=>LYp;{m9bSV#*ciT>?FOJOAUAgaJ1l4^3C_nv%Q)^9bV6s(6?2nl?qIxX%3*EwU`dH9Dp0A1Zy7qT|N$6N!bi!>QeL1uz2 z+73+MjX+PaIkMtXI90rUwZD>9qst%Fx&`+JHvz#-JA%$N;rqpuJ4G z3~`J$25pQA*P~_WIYMHCc;nD3EH)m!#$tKQI@wNQ_}UM?V0u@U7P=>ptH3ixZ?T*S zdWYrqFnl>-f8q>g_RDqlr~Vxic?F&w`iQ0N;k&OOoeP!V1@X+7ECq`LIOs=!e5fv3hG>6ne(NcS9nM1lsb4CPbzlLjOrdg(zW1pD{ zmic^zhQ%+IVe@cmu@;2SM>8^)Ol~096!Z957LkHT>rw7=Xs2=g;=G z6Lm>{uetshu;X@O_*1P{xTXQG?2)P9l^Iopm?r>h4n6L?dwc&< zYhSyFfBK|4=or_DR#LY*|4QXygWB|?6lt-yy)W{gnnDNn?7PfaPm z-5h3=+`fme5HUES`YVT_m9TrIwiDbxr3ewl@WylNMxnSR%H9p)C5kXflk)DD_|iwC zZ1NXZVn08<*6G+bD0VVK5`*TWVr`|^+7#y`bO5q10^0N3me3dE%Z2A*!=D(Lkj2_08Tp3ghPx};!ak~fa|3A|Ima^#EOf%$*(*5_v^QPoeKV&^*a>1 zShH%In3eDcbQEP=$rB@CaJ0LKc0Jp5%*8`$O}%J{bU}*X`y+A8(O!DEPbO@-^Owph zCi;(@XXw1+uUJ>zgERP}5{9B@#Z|Mn)&@nN)_5%+{MRf{lXAJnY5vup9VQ|5#X8*M z2tH9N6|1;9^58XXVAy}(=QHa(T=js?XjiHXT|}L8K0gYEyLVV?PN8y1__@YYOReBJ zczIgzb9&J|%ypmaALja;2eK zp@b-5N~jVfm#LNfPAW_f->Q@;%av`)4rRO2NNKDzQ~Z?r^0UhG$}FXx;;U|xOXS&# zxAQ<-_>Dd?2>i==usu|G_`A2`za;7p!ZQU@WupFy?hwT4ALmz4wlv?RtQnK7g$}s|UmcZgVj-Ak9u8>O=IAu)PN~#$U8x5);-cDc;6v1-3&2>0{Vo z5le9pMq!80A&KP-Cb`oBNvng&)0wFdx|G%H#VMe#|Pue1#(gGYk8zfJubahyD1AB1Q@ySOC#m3G)+< z6U^s#zzQfJ%pVT$xlceF?%x}HTDSR*um4XKqL0u#nMeLCc2@4cH z4kVu28v^WYq6rIvkHq~mcwax_ZNeG?t|iTKe9WKe1AdNRz=fBlouD&?rGgX!pJ)^h z3A2pNu>)m|SSV|ZS&@_%%u*b&WGUh(VNC#sD>H#l;VAgRF?7?0I>X>tEuDiYYs#9j z=9LRJ>{0UxYXhfI7oU?0fnF~V76oVU7P|@bWD5Z; zV@DDe4QDkH{(~V0Cci{4qj&IP!rDT$2_SIUL>Pcq ze<$dr@Uk25wFQucPoy1u?FM{f0i>@a>@oPpRSQ=HzrJ?#{68)HtcirB>mC*~3|y164$JkVz0*qw>jc+a8vbR`kba!7 z&W2L~0fO%=fGjLy7x>OpK;U~5pbwAmaS&l$(JzA_W80Q^Kr;B3P}YrQuuP;$@)~KD zCJr@FBF%#9rUK(Rr0*px3$B|fzz82Ie|5TrWBl@Zn-eiegfBrop|K1iBJ*pu)Z|4;{@IXs2#83Z5*ey^Pc$9U|3 z2B4<}!A*rftX%BG2Erd$l-*kC;jRTxh(p2CJpD-sE^riP5;jP9o{I4b4?>q*#bzrN?1OXu`U!1LKO>ObrNBtg$oF(PoyZ*0fSuKcIF+z#^6_? zu5#KfD)P`e$LcO0L>ko7!teJMVFeUV3Lq1+O1*f~Zs4EG#sQL`jk+IMX)d0 zP9b#&r6Qo`1}|FAg6VgHunEHVfy8ePfB=@+g0LdtUxCLDgg`azH^L@TU&3<+Lc^@g zV}wn@kFbnD{VdATIAPBScMckzP=5=*Z#TjwQ-8jp5GRs1hl83%8(1OD37bOkQx)m3 zmI`%EVD&cX=yRX2sp#0jrK2qkwCKphS@JZBcq1D@CSUIk=+iq1dlr9s#-$>NHZ&nd zDt*TgHXS`ixF97o*aB&XGy54d*i{)J7Jl9~!e;8Lc{3x8Oo)+@?!yRsj^ez|w9ilr zpoc$U&(lzp=Wh>$CSvlf2GPbAR5s47i)mxm{9NVT27#|VV>@B9G?x;5_#g=2!v}-S zYc>tjaw80h`}%-?lEg$?k(2=Ht=G6WZE7Z9)J7(DuyfGAgjtSe{E4C9N9WRJ#v11Z z_9B~y(iGRZxGpu;IkdS&PyaB&=F{e=2Pc{W-ogsN3DE-D!VPF?0SxL%*h}c%LXgF{ zbyTR~>xO`Di+`|}*+QXITN$6%S?DVc%5Z_Gt+;3_KKE(xYrTlJ!W6a&Q9{ElDHJM% zy@H-Fh_sErHW&ih6k>1vs_qCw6Ns<~jK59TVv4&b(k^}m1xgA=5cV34M1r=KBeacG zVl81yC~nP36?z_`tbm1tEv2~2DOKu#Xe(eUVasT=8xZ3LXzxxPvk7~h#<~G<7C-^^&nsx00PsUYuw3K)2wO>UH`Y{{V38PyO_S3EHz3gp_@1y* zn&<{3SpjDWdxIvq0m*IvU)>8>vsE)vaXa>!+q}MNou&p$cpBxTRfmv4OQ-p1!Svs@3l^ICbcG{i$=0QxuYzuP- z4h=hm>k72!8?^pY8Q#>^8l=a$4%jvw0zR4{W#)YI7gi}ao%1HQ12AbjY83%UUutgBU@k>^lAVf~WT~E9|V_f$d z*N6JmnfVO72oa8GKVol2am&I8c+yYAT;}6-X(_Qcr~CMsDbOa>vSHzM=qjTbRb=<@3PD z^FkT1FR?Wh#pQ?QL14*a#J*GbRS#Wli@ubYp% z39i{*>j%VMYQw(W2sWc{zxBynjpB8AJF&lEi{kMe@b^vZtN0ZZZ;w4W2KmJf1lXj6jbQMpIrd4wzdDsk8@To0HA!p5(r9)k82y3 zlvGS|d-l)D?met`*KQ;;yJvA^2w#(&*v>f zP<@UopNk8jk>DpJr#bH}gxSCsy$UZmgBL?8@PkVrTqsXTi|03%zy>{`Bm|DqK3 z3oWUMaeT!au)sr$^RQL0!ier$1#`7%0`IiipeFE(tD(@KKK>@GF`_r#gpER5T0#4lA4qnqle z0w!ZTH7zcce_R12S{%(HyHc;8w4^k?zY@OF=qU-jax3JU82@eXoT#6)By=DE4S2;i z7+6o-r) z|8DsyNa8-HAT)efue?dQg?S_MCwHAVWoo~?5rz3Aw@*&4pl8gOIyo<6^yrC`r}8}~ zVI&`a5xq%1b`opsvr{mN&%KDhv-JB#XyiP67T%Y=dXE`3qHt7pPHx_)DZJGsXvu>v zA$Hj%2<6MGp}r4#ahrj9v+|3wizZE*`Zzy)89UUhOOV4$FXB)*y&C^rt%3}GK&Xzc zM#17LXsqg*`}g6q&td}_Qib#X9*Ff63UfR6nS%M`gQ_9S2etQ`Tri=3(a4EKMSTm# z@Y2ipxyVD2gH=^Rse93iG8gLzEIk#SiPZfUdX9#spx(%Zw ze*0&5+A+W0vg6-lKPCQR#czEl@I`kZwlj{XNRhPv;%d{rqLH+o^)KD?`*&c0ZNiti lMf{~-U?P`(g$DN6e)&_TcF8XqIo8?WS2#FNUQ{fS`9Dug^cnyF delta 66938 zcmX_Ic_36@6n^i`%$qUDAiM0c@B3C`jC~hnEtGbJcFEXDvOS?fw(LbHSwhjKO`A4K zMXO4*Z+`shOn+T>&OPV*zH`of@7;M**?0a&pZS|UkqZ`kkc3)Z;5kC%4ELNGVz)$w z@^fkvy%d@Ihe|nNkLbn!j}gyM>^Qyb@7Qr4p(!aLMx&Si{kylBBmkfJJs|=mC3LFj zm482Th(T)TNB@rTFmx%s;_p~2g|>@+^0sy(aq%Huk zEt-UZQ{O@A1$ymYM>B0yH5gB=qh-_U{yKHnAUlG6CWB&5um5Y`QjXjN5WEt!GXO&A zD|PYQm@{jJ`iNjnz{p7fABF(q0i+6g!(T^{OdekPvA@C8lPNF2=IS1vM=)>KhfRVX z?{l=>^qRl+%d@DCKvH2Hb_{$v+GG4@V1Y|8GEHF8TFNWw+rVM4B1sn%S^knF1PuLm z5#G{zViK^{|0B*vKmIqE-$J5DT7knP$Sv@3i97ll#)>24ZQv%)ocjJB50t_|P3cvC zxq6Us6%fkM0cFB`M+MCrpb0+3?ST{fJi?~^)dqQMiWScK*ZAL)-CasKz2vXc^ZSsu zkO_Wad_Ra|5>0GfplEfTBn=P)(kQ9)>c6Xcf6&$gl$GDn3t+Rr01E;cEiMyx7AVX! zdQUS0r~cUlSw=}5(}C!Q>Zk?KSm;l<$SCSX;m7Drf1`}J&;>t)C-z{#tP?}d32 zF``sP3{59a%P4X#pa=g6fe5OR$00{my4X`dS)U|bo=ezV)gn7qkd_0zuohYnEEZl*?u5Wc)>2M@e9m7f z72x!OAn67;jUK|dg{0?C`VIs*`^g7kd`J}=2YYWPj5i(ru+=6eWhKN0z))rZjRR#7 z^pud3li;j@UbNCf^+8$jDB4Oc;9$%a{F!#qU0~jSF=_@m)8*hdfym+tR2ky6?x7w9 z1+_2G`CzTvZW{kz#Tf|dL?w$rfTU=mOHP~^l@mh=;+x!Tqwvy`VO4M;Z4*QZRV1YV z@{K!aE5Js0EqN4VJX%jeV7#oH7Ra^PNEL^Cn{OlY!)B3SjNJiy4?D_**;xOl618un z+yEMan@GbzZ#SKE9~MN*@iapKrYoiiEn-Ng9)w_eF{mn6;>*-5n0Mbu2?IZ!F*G`) z$n}E~77QO)R?_SsfZ%VQ#W2?MBa{@(ImTPiD_|-Uf+j;8p+xjI%&S%71weLW0c|a~ z5cWks(og(tHWdLp7#i;$ooWx`_ra94kfk#YBt;NEu#xm=)<1DxL6Bn^LW%`#Hq>Lc z>CJzAx?d%EKrgJ^f?R-W5Jd{x2R_*aG!p0`!-s5!>)AFD+ymzA&SU(*MY|evfIc#< zM3>F-b^kw_*g8}mK%D-KT!CQZ*XS=;tj0nVVM`nyr5FN;)@S%3AUy0vR4OV;?4Z`c z-mOz1?1LjMR!4} zgd4HtfY6ek@(gG%$iNB!q5Ve613)rZ|Il&Xa( zEnx4oj_L{8Opa3LLCdNJ(06m;HsFC^Gh|G1nadk%u5MN?K`Z`oMHpZ3HgKw6frNt| zyTkFvkkIFi_*)2Ibc9&DP?28-r-53_!ima-ikw2y9U!nP8-D>Z8Q3D8U>TZ%CV@0U zg*bPgu^dC>pkSWtL;?WA(E&^y@?dzAdJHgVlgMcxN`4bHZEk*!7`ns_NruIXapWZg z<0~f<(8PtOkZ7<8WMYvJfGJGGs3>x3C~TNHIfYWdgTM!xE$AvZL!JDmD@r*|(gOSC zGo*(AD|!n_9{MCO3k?T9^Pgk&VB^q)4nuFqDU!A3N}9k`1yOxMTvd_7rj&r^^M`5k zAW2S+=nJSd`Q>Epo|&yjO9at_gi!`W6r94=K}4%!0E20D#AAIAH1MFHsXe`(W zYg6Y#YSc_g;h@Lb-IyEfGiIqojJm=+4*`t3D=*zjl&afvqDgyU!NwV~1u)B4iQ2&8 zb6uoSnBV;Z^97;I-y>&%1tkDg1p6kGP6wcFhLqQ!irXGE``ZF zqe2u5sI8WAEEPJEMB&>{Jpwi!cW6#r-9DqoU{{t5qHKnUXVmc1 zpr@TDjeF~_;f}E8FhPrLe|$a5|T5qtoYU$g^r0)0#y zh-__9j+z)61E#{hh&-g>aXxJkU=dWtPC zL|sv`3II*1K?MH+MH&4l+#w+1blPzMt)j|@Cjm<{Wuj0=frBFSHQ+nw3FRx;Dw^V% zU~2Lku>;@y-_Tc3XNm>rJE&Ks*Qf&EJ#_|61(5~Tk+wh`Z`eWRfFK7(QJLAbgsrZu zCHfO)RY%BN>jP`a?Qr!v;(=@cxjBRsq0G=h2@ zY`o5q7eSbP6s!g4J9VIk{`o`%RZ!VkiGt=z91+qaO+y}IB@i(vxS1%@QeYFNfvp5b zR@V4M2*zy1MdA80^a!7T{29d}zX@}FExj$+6!`G*LyvF)EWoTGUnawpOW@Bzh{(_v zSHfNbgC&WGF#vkG73Dtc$(|-I>FaU&KzfQT$_q&stihsxSahw;_ds{pveB1&{?xY3f7hERqGO>aWdgLq$oUQs7j`5xE9{$TyL7kolCw zbU2|vS4QD^pxBd>$R4oq*-7pPh07=CDG)d~9}9u`MVm1Z*im$fuzb4bzf(cmD(nEr zX&Z`s06_eylm@7;i?7g0P({@by$()gzF>*a_SVIOrJ*8vfCx5J3@@T`FPsZ#NH73B zv=6O=wUXP=Hc(6Q9XS{L6duR8Pa*cDv{4`<^%K1cYt;rYF=%C7dt%a1jH7`)2X9N{ z$j`vC>Ir!zG707+_8O;WQ36Y3VBXJJd z6OioxNF9ghGA>vaw4co+DH~X9x`-`?oSN8D3}9`GB+>#3P(IRb!!=LRjjRJ}_wJ_| z011XSWySy9WHigJV=-F#4;3OPMOp(3){?Nt5X|#9CI^7@-VlSv5{hwP$MVJvp~v<6 zuyz3DtWWTnNUY$V%NX9KB>=%7UlR9_bG;*G1Z#{&v08}Zun~O>DU*FlTMEhvj?hj) zyoI5}P7_7v6r2Sb-|C><;?f_*zQCs8a}_@at3ssE>CUfL8 zVg@_G^X-@#P&HahO93Z=>yRU$Ies2p1l7n&L%tKsO+}q(+;cL)kEBLmwPg`{8~Rn| z1%3~U>5MX z@p^)3Cc(kMo7)*`DX8OBhK&I+@2B`8m^b!9YhYgLDCrQOiqOEhH$>(K$R`0$$tzSE zY)W`3i7?+wpv2#ttwIn%Ba$6_5bBM2K>$llGWSfORE(Mma_I7-m%)C)0O=kC*foq_ zfFfdgqAWm{V8Fv61_6tlA zqU%SYdEE9ez?d*^vKPleGM^#}F^61cww+M#MW{c(68(Yo09Ds4V$xhpqLI1}2)JiZ z8e!~ENXS_zbI!qjB3(hAft7W=)H~3+=r>FVYFa0TDgqEB3n=ShzAGO0g?_l}j~xX+ zj>1$GsQXVM*aT2t@)BF|cg#Q#%V5$%;22~=+_BK%?4rnms*S4Hd06JEiN1#hRUt6$ z&6d74a)YaUCvpo_7$`dnp83jTMv3zwc`pP?DYY}1lEr$5WQBSoRQBY?!6x8CJ*;6)@XwI61EL{ z9NPn7n2(VWs1)8IsuZAIBuu#oB<(*^55v4(09FjJ?6fKTkne`;H2YZr|G$+-1%J}# zftqgTPz_M1^9F{2;+j$TRRFZekop$J$}`lHF!s>J7C->K0UG!5K=3uS7c}_&1$hSk z)ma=Q4fYw+#pE!kIzpLp9;)0`p2R)RTd#+01SJ;nA&pQbxGa(cer(bZ2@v_WCi(#g zsEX0J555886zBgFG{^tcddhYP+&zunfdvYxWbV22fg*eq07>#9wh-X!S|08ZfqE*P z1}eI~BX5BE&l#t!gL&bl)H3j+<3u^600r0|gq+~A;YAPsTYv~E6a9;o?JKyS9maFO zf$3t51Yk9FaWjzk<~-`~zcEUBh~H%mbCOm`QmL-W(G#?#+RbBkc!3 zRy~fEaM8$OWQeF`N@O#|_y>8+!BY1P(aO{gHBiD9LG>F?k)-CC ze+DfS#=@a!B$W4j4y7Bw$!w%u2hg%JgsHVMFZU_OqJdazZ6RUKV+3Awcatn3qan7$ zC2J)mY#Jt(sguZ1q`o}ZMS$npN03>HA&+T%5Y+i3W(St08EF1L_lTel#U6;9e26Q9 zy+Ji<0;PR+IdTYcMioZv{}l`>ph`rrM3yH1mcJe)YENq%n#bt{5`c0IBRGl z+n~VLq?4Zj=%Z_}8PIweFEPwg;27Vfad#16f2<2kU8K=ME&~l}CWKcmqIGb`XUJC| zd|4A^Bb0Dg8A%N0{nwFeLCfNM*iD#smq%~RswF$O^CB`AsJmecQoW5jfh>x;M7E7R ze%n?WqfZ$geO#=nHZJynrvBG_yl{vkww-txb5l<}L0V~a@~Hy@FaI!2UBY7{XJ2yXfc}KLvDBSbE=6G5dAQfItl-i2(VKq=q z4y%YkTQM|)cx9`n+yk*Gn~{Bxd_4}5$(>h5J`s!TYPKX|q+RbRP51`GGrA|Q&IK3llzSQUuZP*QAY*>owDKe;^U?oW2*v!P#VY zu-U&3*8-o0>#)yrsQ!O6^7)12f>UY_st)pb6w|_CK`5Jq0u8@4v@6gD@`jWcu z0tkonAPXTg_g(NSFt4?O$a7E@%L9P60@R)0?CTw3(7^)jA^04{IhYMFH+w}EhH-KY zmJA88K1w+WW$W+|`wrUqOi+Kr<$@+os)w~(+^Cx%)R#LbAC#EjHS_`SS6Gj<|Ic&5 zEFVe>HVjTZ*W=G%@v~1vjidHLb0K6tY=eBwNE_%wxlBC)xI9{kUyh2Lmt<=|y-yjH zgp8X`lGH(nBFR*m^MtK6!Wg0N4 zx%vOgR6hrofUENzSIi&E#X=vy4-2fONrT`hdNC>hHep|RGNE)V((zLO^a(~VoOK*m zpymHQMFhpLB+$(ID24z;t!UCdpczq~M<* z>{v7A13H*rCr&#nNLyBtGQc$aEtUqcSOW;1_~NX{se|TGucNGnPrC$Z+h9Ie1)C4Z zXHSuYVGq;EBMm|Fb`|2^0K|AY;sEIvc#kK7AN9THLtrHT0^jvlTVn*(EWquc@7al1 z8#r~Uqt?S>Z6zA_wpW3KN)Xpw#CY|Am=T4TbP;tjd_aqYehP`f)nTz=I%xu!?a9Dc zFgBG#I=RJp7*7U!Gcw`fDkf2YY=JFe<2>q>e>H+Kl!-W33(g?zC*(@C0W*QrmmgRm|cV*s#;LC0iQRFTW5(A$z_aW!OA4P}uhs(JJzXMylWjr#E z-a5B8BdG8u8V2RJU<1_`IJ;k?Yr@(kYN!w)>Y*)8;=a5TIE`(AUR&%!czB2|y!RMu z1OXf$lepg=Z1_Ms4Y^l-Ok{Xi*^5F)`LCn&L53DwrEG%rUE|0rP@L~7DhTuOH*gu) ztp-U1!&8ByLPezDlJdq5Zw4}UMN|g3R*S}}0j+}zz8&UO_TzhCtSLg1h4F$3)BwVZ zGsy!0x2%b@0E7;dMN(&T|My1Fd=GMwtGXcVDDc(DA%;DbId@@^#}f(##`UJyN8sfn zLh*qhrxhq6Fz#5vV*y#veT7{HdpCbl2N2p8iu?eu^GeXS;9tpsYV%hsCW5@zp}yg= zYd~JW0=@{0`-QU2N<<%&9IT>#|5u_Yo<{U~DT|R{jldb&eE=nSfcWJl&PTfevdg*< znoIOhIb!(|F^&#g(rn~uCQw-c!lYinxSdW?f+`PeL}VcRqhWjq>@Cfpw87g=GviV8g{#P4mWcG_Z3il?~ej_yoT0q5-V0bI@mO#?*8wAr^%tr+q z0BCL{cr}o+lp}E;+SeKIzyk(qDM{ym62X;N2c*Y2nRXZEC0&RHZv`i7F}xg*TUz5v zFg8dfeSlt$RU@5;kc&oW^#E4&6WsvZdG95Ze6%@Q<+vOanWP4`8n!blHH`a4!6FYb z0`qa6_!DTHXXi=25OQ@Owgko&2S~Co4qA$c!exx?iM!jI5hl`Gx59wNPeJ zxZ9YcHTD4ZKu;&?C4f8gf{^nQ#b(b5O#Ku&4m4}PE3}zr4)Xdpk={ed*j^I1+ybge zd?33YnX(*gIyTb`0hYftQULs@&KUQasDB={gc?#qs5x`?b0@T@?Qvq%Pg$Z29B3z# z-+?IA?@^qP@t5c9M6W?GrAT}W*s5$qzk{u^0ZxWQEUlsHK;67Kh|UMlTP0}RBV*xJ zNHqY(Hq5(gQBisxH`jX>FWuE(wbDvx!P$B-TwO(YwTQ(q#tVJ)vO zLZLU#_1Ww{35=_vw+6Z%Y(&iQeW0G{3fkWTli9EHP{DUp?(Lv<6^Z-(;>w?t4bW0u z2WgJLNboE1D?pi-n-+IHLNm~clLen!q#+#ul2VPl1^|3dv0O+QdnY{r>;s-s4*)@Z z7OfDrck4nP?rYrU`jlG$UN#5ge&1lgL-}_WJ^NC)OAO)yn=>v>d<#_cu0w61^kg?- zhoCR)=24o!or69u1Qqj8kJJvjZ_C9E0G0t65r=K_7qL4?(aDa1_(AIH+_6^h?37L_ z1<@itQ5QkFm0YM9;7z9ie+|)%^@vwNiXk^qEx@Vu2ho67L*>XCh?3rhj)Q#iW|Sbn z*@@$9C@{7)#=TcuR6+R#5tlU)8<$IPsvs@+F3cQI8i!&6;7fOmnhwZxCulj43U?Z9 z{=eczc?WO}h-XNpy@oW(4wLr-zCtBT7dp>y6ZzlC5kX$T5GTn%u%LHTX#i+$NXV@a z7e~oZECRPMaqy;lmhf1i%qfFNR?gTU+zGnNlew>2WM4qiK`nzG$}V8o{Rrd!Ou~K) z;eIu^Y&rE6 zK_ISbC2cEw8hnb{3{gBhF%A?a>mB9)i`491iV9Ki=U{JSMK*`rq!*I7FR*MGM|MLg z`29iCp+xxF$We3l1bwBTgfVgy(BjFMG{n;TK^U%7bkyWo4hRkJ(mDZ^vOkr8=-RfZ zBPc2N8Y_YdwbQ2dKol-(cKf|@ACK@va zW0M-H5a_7(ix6L>w?rJY_diCB11vi4$lSAs+6knL%j^W1+i8clVca*2XLr0;pLRjzv=-i2?*F05Q}^P$D4C$+$R}@X(S4&W|JEt44sJm!Y>0_&94|Qu+|hL zkw7J1GkgSqk0oMdU}Nt}ZTNqepw5=A)-zO%h+VDYXb<=m4^z267?8yu>g9=E|iCOvsz~fy!wM$xz1Mhzh8z@EAUEC!?GXy23xPs7%~Y__$$#N z*cKGt(`sQmQ@=t4uMy{<-{3=KFS;w#hQAd}2Gm&KMBWAY%~MC=wK_yli^hH43dJz) zD_n-=_zZNCyfFDIs8oxgMgROGf{Hw2|BuyX6|>JEaetT>E`v^RH`Z{x5ab?KB;?lW z=(VEU!#c@Y^8arg%-wqxGw|PF`SKY0l}l-eh+C`3-%MKu!~`D^m1`}mG%!bKWYY$W z4iMEd5OcT*_|#141sZna^i=Q=oJUBnli+Zmh&;)-GuW}^sAEv6&69uAfI zAPv6;(k||&go3mkD-cC+?z)8<;3ZA+6(o^ zNRd!E>(K5`-SraonNTt|uSlvOL(p|{Hq?CK1$+mTjF}x0 z3bYT*;EF)cP?bnpugF=9SOI}4U2+e2JMxX@2S9|vQSSGcQu8o*0Hyba^bPDert~zh z56;D20Fosw^gZ198YC8wEU`w5=jQ+aZIGZm#s`kHnY3KEm2w-W!E*vi-$Wh+oAN8v z1fZw8lko*8o zSRZLI;0e(}xo=8IccMzfyl{D+%~bAblJ-l=S>Pv~M2ZG&*6*QNK)vWE;@me3jZac{ z0Mc*z_#Y7ZML3Zit|wLk$uU30a{>@D-HH3*ikw5(bx_+K#ZCf3r*ixNczJvq(FMM@ zym%gi2fH%}03G!w=UP(xxfhxh=EXuJufrP=DtVOhgpsn=|vLrZ8T94j^ ztw*7OVhzDh`Xi-q*}*$$I|1N|W#m*?o4*mY1`DUoOORgo1z{N>Veu8#gnN;=d$;ci9DxF}i6C)b!;D!@ znS}k;;TMq|p{&yYq#Qoc4Z*i}D)JG)^Pi=D0$+|tiLnSpy>AE)AZq`P(Lf>%E0QUo z2@XL!z_U~e!H!gr)H;Ve1*d!n5BKm#&xeSMR79tF$FYB8+wh(ftP zTb#Ynjcyg4r$i+Qg984u-$`r}^N}Onh1%RzNXvu8_L-DsK<;9WSb!SS!_=$)n4-vT ziVBoa(?gORBrmXsh}b5^siZ7{Sf?>E_osz*x|kWv8$01|ApOSksN6^Bm0OW>Tzc-* zYCxj*gvPyv75qRcfIG=PWg7P+Q7DphU`}V^$F>D}=cs4l;`6%@9f7Wv3!`w~D{efF z8A85{iU^183i`r4_#;T?t9WFXTNY<=8-QZ#Oj`nFA^4og-mWO2ifMzChU(Z_NVb(8 zado>Mhx?Ql)Pv6l@As2&4tP`>CXK=R-9z{o%o|+9ZK3Gcq2%WvbCmequxjZ=9DXT`Jb#Sc1J7Q9R0Z&$GDT~HqF5-0 zbB_znzmk80ef@hZ0a9w6OmpYz@|IEuYh@o(wf|=wHrtDDBT?=HaBeRi1vz@SiXIJ% zgO5`q0ECSumHVxNjv7q~DsY|wwFRW{_)MaLe7h9~gI@iVRrWe#T44u4Cizpqw6Ot40#)UzS*#{Oi5? zjpA(gm{sotBUTdw37cyLCp%gacJ<;+B}d+XtOX5Ejbc|ke%gMv>%3c(N9`EH-*7$a zvEtyxu3gL(e%p_{9$gjLKT)^3xtQ&$EtUN73GH3Qmu;rN4&aixq<_sYqydIirj zb)zd!30yryVDTPALVO&j&tYiw&AT7$YFD2^i`uxF1dJ7+a4Qr zvHkMSx=%C(4CDI06W@CiIg^307h- zk(x7J-=KL1(HkWBOV>nB;fc5k|sDS=K7d5cGxrP*C4SUdQYvp<^c zh(A%<#o*k?ud)j{@G{{(z3D{v$k(t_tciB{T^-r(LdUMYi9RN0 z{#ir&uytm)b@Ij9vT@%_F56EQRQk1*+ee^24mG|MDZ?8L7v5y6IyKI_e?ESVjrEG* z#>F-HA03q}ieoRjcUM=gLB>7Oo$d9=)b(}PZS?}F4$d))gFo!objKf+eH}b@|LMB& z?U})DnfE_$ZT(!U+%3?t$UimN@fc&iz!#^>92@@?M>g#L;82c4-hP(6s`0a3@HDl@ z+1^6!_-Nm&=6B-3dFSd+ymdODi76((D~p`}U|e%UW2lQ<=`a)xCN=kY=Ee zmqG04Qg-UhVQsL|3VC0@{XH}0DiM})$hF;iKD}Ev$Y-MT>4iguUGA6gH)a(pZ*6jk zn=C@WqYpj1KPo806A3JIB=Fk;3=T>{YNu>1Ew>v~HooJ6PS+w;W1sV6* zSK%Hw6d@M%S}4o=a?PI&9YsvNKj(ExM$s`Ig-#2O$j5DChr7G3%XqfjP%&6Ic}t3i z?E;OIzz2!PyssN^&JG@ze)cw-CVbu#Cjc^!m!sFjc;p}44}vIWI6Dk z3UZQN(>9XDBe*^D&gsqf8|jYLaiwK$U-&M*eDeOI%gJN5OT-`A+O_XK=X9RPo72lkb`baQ_64Y)%5-cn>-&9Wf^)L zEm!4QugQ1H=d5~`mxep#{BnzU862$3dzTR?x_QgH;x=o=(Sv4tQ?poo2Y-8ADVcCC zi6#wS{h76P!@In%SvfbHZ}InK?IPzo%QP%l9hS7!Mb6}T!JYJ&Z&`1)m@Ue?;4;N& zdyrw0x{p2*z0bTm!uHd$o0e||?dM4Z{!lFvZ11t9kEK|$ABz`?Oy_V!<6A=aGsv6~ z*HL57-B(EAMtn{gapP6K?8BBHZ5VIfXMZ}7=>4|7+)1E0Ol#{Y+iQoS6fWYqI?N^0 zgV$@69?T0I4&j$5zJ%#*%yJDtx?C4PGd<=ZFp zab&^ooyQlu_q6s(jO(o$?RqUNH zN-r8i^NQ9AirhRGu`@rA84)%~ZSsxsI@IB0F#WaN-1g7Ff%b8}4DDUJliv!pNVv$` z6;24=I9TzsTX50pE=Ivl`#t;ZUN=bWp7+kuZW-Z~&t%x!wP z_M1_$j@5*IvB&IAa*S+ewe!Z}M|<`Ba%e|da*Ib%P8_Q^<%WgXj^AT7c3(N4v$O1e znCtF5-1o3>iai*4DS^Rqv)d%;eQ)f~mXjJSH+sTtE{R^_?9))<^Nse>qjs!kN2qvA z7k%%ezxwg^P~1rgm-6&Kd~u&8vkm2+MjbA{>-IQ3p?CxK>a42BI>FTACM@}a>f*D} zd#13@oYEtlec?mv&K2r9xt>%g=x2AgnVGsjI&*eIyLzk5trfOr9w+%7&s^>uG<|tc zaML0EkUm1g9ZP&JX!Q1EUA<>{-cXl;oxk7I84CaPKl6WOeAR3nWb*mw2mLCstGdcI zl#EWe?+|x-LU?z_#oVc+w_by-OPM9VTBxWpXa)HSCU{w7s0A!ayHuA&MZ?`rjBa{KPGKgis)}!9;KqU(Q{U9nH@YO^U+0z=b& za)Z&?)773!9~bhjf89yks-0~uYo8}JQ@3+0BK$6>o@~h^^y}gn8|;l!wSGMD&e=L% zlKdq70CBF)gTZIL(xN<6ZRwfiQm?-l40I9-^}3uc`&(Of-!q~(oW)C1l3TjUZ6%V+ z8}FaDV6F`AU%0vat961x`-f_ldf`Qr!cQOb7TO6%{}|SxH2!@1tLpXMBiYu?l@ej> z50wv;}<0e3mifiasxk{;#P7))2=~bu?r>e9Uv?~#;wYWm>SYtg!_VWq#s>^;h?Wd-ZLcLwvJElWb^;NEv{_7Mrkm<)64&|<5viv!DV z-RYvlEAAz39gAZuv^NjE5nUB?;e(*g^MeZrBJ?YG^wIWh=O`^FtBL00 zakDA8wngdNx`C2fh0gbzuk+(r+S5yd3Gugc+5 z&s|@u-gG&wn3!tvU@T*OZn$*(O48EjJ+iu1v1NqUi3rA4>mNri>m}58P@nt17!9u? zZk_O8c)FaIxN3gZHJaUGByw_od5eBC6J_MPYtT>Gcjc-WR)52*hy)^`#e>0g`{bLs z#iv2h{p;o6HA)2WtR;dG=vGA@bYqD>$~PS(&%azxgtmI<8awIPF8+4Z)+MLBhhMAp zK&E@aH;IZx*CsU6Ny6`}4*0nK6pNNyy?Q(GYu~51;cnttt1ctT_2mA2i!$%u)_;4V zXRP}$p>VQ^5oLF_%WCpu%a>cW0aGJ+r-)}KJs3``M%lHp=A!{Mbq~uKV-*DJR2<`u z+oEr6tE4a0)jA`G)U(owTcXvEZpU8I0CaLccAJG2o&bpR#7`S(N>` zTZiAg+E1Ko^I(K9&wkN$-cgBec$XCZs5+ZaI32-=VFl-E^qu>%H6{Jxz)6pMqWrXn z?qTbc#K}Cx-3DDQ+g_Qcrq$T%(&gE&H?EsL_tP*cG;5nvw{d9(ty}Z_m#UrTy($QW zc3sA4=S5zRd{=!~TbV4{eX~512yJg-c(VttpD)Js7D*@FVc+0ALMU{2Fa(_xADHak zMHJ-gYi!gvZfHs97{wSBHXnFS*eBWq44WMh5{}9wqRtF58tkuUZ8{bxsT*}V@AiO6 z4dK-tERf(l<^J7b@EAI@qQ85{n>gDYDNttfr;{EtqIXCoJvP?Dmay*eWT@J1*eVpl z%lLMybSAa_Phm@W&urpf*+)Ftz5YhxAbsEcFPSALiK$)>#v@lhffI*a&PE>M-1!hu zn%3fVmVq%c9S1}Xrt3bvZgA172N!N2qWU}-?_6)v8(b3KYVxLxB=+ei61Vyy7)zbj z=_()pm69~#y<~@T*lEJ6f3`$j%!3Zy6cL%vW`%=;K7Jy z*?FmtIQ&T$CA*LdnkrfnF3fT|=UBRCAOHK8Jv<52W5>t3iCY&v7;l)?O}k~6UOuY5 z@>ENpaZ-!^rP*duuuWGr*!FB)_nt>`xeCe+MDFEa#$u+5&d~Y`dHW8G+*uiQu!X<| zA{cr$EFT*u`SVL-Titn{xMUIu1Hp`&&K4oO9->VvHjUEswlyAanHtbV8DFhKG}|7c zMeU}3{g;K`#1r~gJs8#2?+mheu9f9l91J7#73LGUS0fmjF7AFD)tkw`zDhcUY~Ef> z2wn4FRJlt&{`%f0xB|>ixGJV{7-1`TPsHbEm;Tea2Wa0K^qwQmI z$MiJwt`=4r7JD#@do06T>;@iGtVm;qwz#;&$g>v>kXTz&0vsdE~q+FY0ZJhb^&$GMG<=keS~OTK3Fp<__` z*ljEC&Lf)sHOGjkyTP1F*44H`vUQWvFY74}!?Lt~mg!grWy06NJ|^zsT1x$V`^!0Z z$I2EL{$5jd^uwak3-(=qMtb?s6U`$}w{McD8fBGE9~Rg(v>dzGk`+_HeVv1we?KV7|h@?HR^fEZx``9(_)J=*d+<(07vU%>4}o!QicVH%xv!@<9!=4Qr+?~K%? zcWa5}M;@F;m-ih{+gC;i+|mGQLIc)gi;kCFBdoitV@;fjKiBh*)O_?f)XzF6GILnR=)25ug||Gk z#xj>3`7PT@&DVN*$gkL7(&6lM^j4XqC-Ys##)IfsoOQDgt8gYyYxCqwcfs0IKBL`R z?E`6Q{MWIj708mcb!tW3PB$X?8?Bki`ploDg8A)B9BhiGYkPmT&;!3c_P?1+oEt9X z6xj^xS9SJ!{|Oh<3#ASj)jF^C2wz1`ol_ZvzH!i>&#uP z5*J%rq=i%(+Fva!z9`Nfwl5HR8h85Gal3mG*|Jee9}JCy-#l+B4R8|ns~SkkfB9y7 zRb0@>;cKjw1uG^p*LSd%+TK3pT=T_Yjkvo1yGMDijK0Yqn$BCwuHSY0M{k+e(=+?@ z`8j!c^48BUby!WRU{w=DZ-7{Xv75T*rted0^lMC~9z2z(Lu?j(6ukdAqh-DW@7R^` zBMY1!Cv<)I@#-!UoYGP%YaDJAAYY=!j+xz2mm`z|q3*3Y<93<+!ZzKu6x8C*V_nXBzl zzcaV>nL>86P1DC`=8r})o>qKX9oJE*>kxykHXa^myWO0#<@~*D4~G!TE%n)lPOwUk ze3jafI>Wv*AXJfadg;Ni#7Fr2rsIy%#y%0+p?elyZy9+qj>@&!NUq4UOP>izTX%JR z;@A9zHh=oe3wiA6>eZsV-TV#`&z^cP5QmcR4b}~Z^4b^++v{(4SFoO;oIg(CZ9`HL z<7WAfp9c2aEUdM&vCryMYDjL@Bfc;X)t|H}Q{MYX9ZySmw{!3qk;iM-XSz}T`?zZ= zwJ#@CzG&EGK*e_W!C{@O5{h`*>G}kA%DUbIaUtgg^lUyPq@_1o->=g)4mp1+{*qNq z=lq;KOw9PFtE5}~vbE#JvJut^`EGV^m2r#iv2O{ESL`e6BORsS9I zDBC{ov^n0oCkUav(ooB;Wjps*v?@$|zRsSSKl~#)pTNd+IfVV&p4}gpw?sb27EKBt zuX({9c_A^mbL&nA+c0POKc$zfcf`$PXx%5dd_Bp3Dz@tbll&{le!OZ}iPY~hCRP@2 zciqqU%)1{V<`Qe~8#`2gb#z%jt~9Og8ai=T?f3VZQpe?cf~#n@dpn56&DpyT*EmU? z4)Ag6dK;EUF*`rna$+nVl@oUBM0DsIWzOYOM!B;7mvdE#$Zz2Q@>@%uF<$VuK(>O~56YqWPXFNs`PC9zOs zu|(P79?)ixW+{3hg9Poih5*`0luWQEl}2&_!Xr zR$-pE6(SN=6pZRchZ06DOSKQOrL#m8Nv;F*8%QOdu3q z>Bqiy5#%j#=Em)fE|a?ntBS9JYcsq#j^E?2x}9TRwHP}QtimbT z<=2?T>m*o*l}_(lBM=#`@bFn&qD!~co_)0$E$iB@@J2muiF_T2$~|NAt{c;TEPw5G ziiPcknKqI`&4wHIWu&KLlNx>q2^=G^x22q5rynOA-|tQH_`Utm8STA=6;8J<=Vl4C=^K#nMCu z+dX^E*=kzeOZgftFh1!Xz30=9nY*8uv`3gloPs)*Y3Z*(Ld_BAGuyztld37oxt8PIrXm8F{hl2 z-)qE`+jIP=ZF)}e-@;dRPAl?{ezAB^Qn<=(^6^sJL}lZswI_8(exLlsy!v?8mRye& z)^0X*D|*48t7_w)@XYRpC=|{f2(zhs|ysK#a*?fNR#rPrROv~GEX^|Dm$Z2Ngf+9DuvgTe*GRFIwk2Lp;knRm<(yXN9X8LfUH;m;7H^I~(khoX z4IlF#Xb%KOh{*=nHMTmj-4dRDma-Lp&Pvlrv%zsNn^!)dv7s+MW9@Kks*es(M*w87#}rjp}bPqX~~L+_oM z)lJ<(@-prB2e(*`Mb3}e!S_uhxJbbH)%g0wO_dn|mjd`^zi>UrYSw5A-a9G~XLIM> z^TUN>E(2)9^+ywaeHNMz!}+`2?ax2*xvJ$seuzEXvOH+-M*B0X4#-8iMQ*B!U95G&&Fo9=?Q++w>2c+*2c>*BjAr-c&RgiRa&wKSO+~K~qQ}o({qvSoX;*56 zjm}3G&qd?Y+E4sPpy11^&wKesrTJE}3QUQBsiTcrtdJ6gJ zU!9gr@f^AH^24gzIfkcutFv54pA&vwSn})g4+{PwGl#$?b>)t*N>X~Jrw{5q+7^@N zWL4DV;vJ&rqDl!lImOqt!a1+SYqE*NabcsPF4uQiN}NAEGJcHjM1ajpqxk(NFN*WO zZp+WsO1$DmdLk%gl90OUquJ-(J#j&{AN@Z(?H?-JmE8M?QQK<9?ujyfQ7K)wX76=+ zc}R1tt&aVnog$pfx1AFX86R8Dxyz^y4BZ^e<@E~DeB6?x&*s;W(VLguzgWZPdn;3L z|7mNFvy&D{cPjS|bd_DTOC@qY>2kD~tgD70hm+ayX$b;@>aDxoFTK)GEOU&LJLVfM zLprtECcLvPu7yG__R$D(uq~~#cL+J&o#b)^|Couc-@X@f^{la9a4DrvpXWu4O-`vC z%V*uCtP|)6?Q(1L!s&)O$7TDb)2=*Bji-dL2IFdznQS_*?XkV#46VJ9KjS$6G4>sB zQS{E=n>~)I6hTFb6tOE}!G<8c7sU=LHf(^R*xLb$iXhS#q$7yZK{^N)tYE`l5yW1x zfC}n6$sXJxKJV`zKhEwZGv7=mGf6hPdnDOeY4lQB=ueN5-y<*(oz)cPfqn}FjKnK=-Jy= zwAy=^x%9c(iS-xP(l$?OKmACa=&*g=wO)c#5 z&q){3!*F~74yO(x5>Za%JQyJCExwaWOt zY3btvevdj5mfX6CCt^MH+tz{H{55rT8tyCXQpZ{qr|p=1X3k}gCE=9ssgm<{@3gds zw9DC52A%w}#5drudHYoDkp-y_6g+bSZ;Xl>WV9z(`NhI{xiUOc!>koq$`(KKcgM>1 z`82b<`VYY{20==!5>9?<1Kd5BPnk~=QONaS>?YAp(TKRC|Y^U$`U zG3ETbv6ngT+stG7MnAXOG_69DcfNE|s{UJ_+>mmUKDjEUqpy6eS@Ubd#*f7<=7aB6 zTJJUNd3nJI(YH6UlIxOGYE{q%?(NV79n64g4Rsu6L?;rPP1 zYy~No+_|D2kq?iauwLqtm9AMRew1_fXo^xynDI}~X;;kRrHk&@)p#i8`IleOju>U; z_wddeUgp^LcIU@0TjP<<+N08gzO=@TU-xqE^hE?sgu6$We1y{IJEHGWxae()QbMz_t)#qOuPl=p)mL5wzepedsd?n9w5R6M>8~$-YCaU}+9SVqEc4UWxS&@|quatE zbap&1=~{re=}Xj4yKTCm22^SZ9hTO#_LqRW$!PwJcX-q3G&AC8c*f9+gD|~ys9tQ ze7L9S`SO{!J-^N3(zk2kGb`iGaZM5b7_K_`(9X?Sa;frl*$RwMK zyDB`7sah+p3RIXhBVvvH6KChAW(sQU$~_)i$@up*%^Sd*w^uGYb?(aN%*I$(n@QJJ zuU{11|4e0jsmGmg37eub`mZK_v*V3V#A{j&JeU!LT?Yq{}w-y<2R*!F@8X6eg6x|~_Hw<6(Z z&>r5#l2?|$5*lic_t$IdF?hgW)%cm_pEFYJu4%-UPOhx>nUnR$ZgP(7#Ph`^#bbgV zzew1>-16+6RBp@ejZPEFrdIYjFu-*Fyu{Jzl##;Yga=;|0?RGN#f7ze3+a2uymCy< zklZ<@m-S=Zx5RIGe``?a-G_WU*A96^1(*N z30F<6U-f}c-UBy zV5I!{vQ~e|^G@fUtyAY!1)CNg)|;ApU8-^N)1#_80^}X8I~vVB{&Yx0UC20@aT)mz zTWqYqq;5YIR5ypW!%+R`Bdgi_AH`W~wA~4pzIR(CrQBl1fLtl}s-&}4J4W2fPUo6` zdE4OgDbC)kcy#+!(YR@5zpd5kk2opyRa6Redlee6{nHlzrtZhb@f+`%5jJPWQ=lp7aC}nbVnuhgwoY_mCQ+T)LXXDF!kE$ z6I*w_Y@10x4VYNT^b$upJSzu@5Ia++@WATjYsR>lpY*Y8SJN9}vSVLV@Ph2sq9HjZ zC+~WFyId_ir3`3)8%{h41aI# z@%i-p#`(FE-h7$Y@;c1GLFL1R!72iocT{h%K-AGydlBo(_M4-cHVF_+42fT9LUZO2p>z$~g{eznwW9 zTD-PqU&&2#lUDtT*B5i>9O(h0r+6>4d!=x!)Fdi)&|EnKn{UiR+nXPoCOv*0nyDDp z#GCcI!p+2{elBNd!g>3L?H8u(2zhT@SF_1XtGQBsy7atX_xJR&*KC^h{pV;!`lb&%v&-8p4se`=Os`3oVud7L5acU z$l=xwC(`@Q3>@=Zt!QK0s7wztm84^Z+rxJjHgV(`O?zxZHZvxUuj@gwJ^zyC8YnsZ`YrI|@> zt9HK0jSW#W?`!g_+JfsgidVKs?iQI9yg6y$na45Gmeal_O6{BT(Pr+pfYyZI3ZuAU zr46=9QC05J7p&%HB$=GnELlyNZjYdAp6uNa?`U&!`RO5cS#FjY(>HwIkz--)x2QbT zSc8NkB(`&u4Zh38=pTo7a%bUEzZ5kV0dkoGA`8FqL_uQ>zOg`rN zZJzmP+K^Lcyf=7M?=mwfpJ2LTK<3ZErd1yf?8|w*v5cXmATD(rDi7{+_EkmUAvuRb z#p8R~Uu+#`;L+wV_i}5Lo3r;V!!7YKzkc7dwr>)z|LA{G)L{!pUujLq0-s?VG}tfe zlC|PAsY1m^me1Ozv^eZL(QXl0ac;kt>XBi+`)f*$Ik?!Yx|dNhCs-p;!T6Nk!vpio zbQ9<4sueUuZ#yben8@2}V*Y&6ofkZ9wLxj`=#}w-Wp+N3ZjBgzU#<5AjngUBaXcgC zH)pFyUYZ*^Oh$KXpZyxv2Ct5(2fj~Kl8y=qy&iPk;ZwvNmkb4)fT&#?Q}kmm8z(4^ zgunAs+tcUb%|3f_b{T8_`7)>8;?&kDcUzM;Wwe@e2M@QLXQor)u-Ye@r=FB!^=+Vg zk54be8pIt2S@kJf@~+s||LY z@kV0fmZ)+!r9647>#M7tP0pS@ztC5kT6O$~vDJ_m)djCiWfmRjad>F1f#H@jX{|TC zf{)2nEXes8lWOd|z+c}feeyTM%TLCBO^=Q?X*|2mQO#xFZ1bl)AVts4wv{(bzwd1l>Pb*|(KenkK^M2M(lfJL^NIyz9_ii#)yR6~- zDrxg=%aMuG2b7*S-ZZAEION*d{VR@L&B!m-wcYpr$ttPUJHt(Cb;A=97n#X9KU+O( zpH-;n!0~i56VelD@ciwqrc6=a-1em~ZsO>juQQv>8_mYcdnSEWdA3~l|~l;tu#LRsQuTWyNWCCs;4#I(AA3d{bJ=->OMXCwd;%C?IG7^m4+C`R5@O+ zRT$3{-ZDQQ5*=V%aWT(wcC@wRo$)Rf6?FU)<$DLWbPV|Y~ywlrni^UW77R&6P z)0Z@G`p*bYzGybJx5fM1<9T)0ZmjV995vL^=#6jn`q#sUZKG|5Zc5ziaB<${Z@%gS zOb%_=YS^5eV!raAbZg)1sRg#29cOr^C-tp^qgM22oBVw+N3JbpL&|J3bGd*eo;OCG z-Y~}BPC%iUEEH9OSR*v0OBI(!+rTHV~s|F@CGFoU|&g>jSCGwxgbFyF?}n=&r-mFRJJw3tVKaN%Qo@iZeHL?)3ftK)Ca0dgde-N<@?UEx2EmGW`CBt>@_nuKW&Xc z!7&rn%0`aJ-cbiF+}dWe9*nj`!r8p6$c0+f~3m(1Iw&mtXc!HaFK+)>K zsE8{y8^4|&wRe1qVKA)&7Q~Hu9G#w-T9|9~?xSe_#pUX|?8l58V3#-7!k7MB z%5MYF}QYDZXA%U72*zDCOH-P19YOY+`*Oym#w~wKE&qwdK4F4!W52>*Mla#JsFCYu`=DFl_`iHD>)?55exr`3pQTi=-j89ZXowZKX)@hNxGsZ1E!7CqB zAE|68p>fc#c{bg!((t77uMkx$?~s0@-rT*C{B^4GdX*?cv+5Slil#B>=WW?`v6g&$ zQ}++Dxl6xF+j7%Q>I5eD12*m&{Stq&4N-NEiPwm^VT%Ru@5rj*&5p=EjCxY{Gz|jmK)<- zl1go6O67Cf?&k+SIPMerUqwpV ztTh(tK0NR=wYR(4X3Hq{RGQ7ODVaQ?zEIfv}? zuYH?k94-BBne06&`6z#fbrP*L@s7QXw;#Ox;m^rX^T`V)YUz%CGr733_ORlCG@Jc0 z>O&4M{L$B5TW@*qlE>C=&ZpKSx4!b~J;85PYe=j0haWobA|oeD=)ICU%1ch&Y4di5 z-saYw7qTb4U%zX3^c~~dE;G#*L?s1JN*9d|N^7=>sFhv6Fm09ioW7w;Hbu=gRZdtm z`|-w+uDs8Fr@vPXGu-s`*G?-%ZG-Z48y1|Yd}nssL~T|7+uP3tN{+SsdD7FNa?$DQ zo5%To92fPauSZyw#rMabG<&Zwb-h=$oyWP5|IjqZzyACDS)<&1cOTdpl(5;Nm(sgj zsSlUrMD{mU$QIVwye^PCJ;y_Tozfu%k&40%c5NZ(*+7rX={Lr^mtR+}H6S+VBX z?L1ej=_YxFBZf^*={4%NeRhtjSsJ}(s+Y{&-KwgW*M9TUw*J|&LF~=2p%S-K8Xug< zFtE@cd|1@xtn$pwu~ViGV&u%uEnPog?5)I)=WdAw?M=IH^fqqrnhVFD$xPan!JD&Z zvCZnUFO|jTx!&j5xNUyyRnlm`^Rw2E?Jo-=v*^-ZVn?N|(1X!06`x#v+LF9t+#GKm zi~0~X#pU86>w_1ybLe1ki+u{q1khlG&8P$(@&-y%}-#k3H(!u3k zMOu^Wm!DsPbqCcS9BsD3UrudUal+vEnvqF*p#}D>(aj;Rl61}+tlfHDB1Fky@Y}ct zp%Ztge}1~g_(zhedG6`KFBRVG&k1rE;2L~svi^iENq;8L#)vg^|kMf zG@jh?d3;dHa(6^k*cnH8v28g$^$$m#F;R~_-;n%q^YyVdB9W?DbL~^hi&d#a{unsjbY|Z}=m^;<;qx|{Gm3~=$4Xb{P z;Qcl_yBpmfT=L^;RJyUoM6+~@vD|&SWp{LaqYhYjv?$ez3>$Pd_VewrN6RFP_E|+A zx$=68ib_Bq*Y$5o@!N#k6|4Wsr!%7;uQ z#FvJy5L+q7w3=Pb|1mV#ZFut50Ee1{nCX^&YP`JBM;a@CI@3YMLo2>o^nSan;Cu61 z-E)rOpI01hv7afT=2Y~{e@K|yxfg>{u9|MV8hEy($&UN*)5!IlQZLINtu~)FH6F1F z@Y%E2A@`HnmqqmxkMu0a_gMY1sU>HZNu;^2c6o_y;)WfCqSXFG^L)SAkq%!(POFdp zDf?${n#rLBkxoY+N(>#{kaBULTXLaUrvFo`cE8V|@7(W1O)PD(c)7;(ep5^N)Z-bC z?=1|jbclL3nOn;Z<$F~`mo&EZt?(VX34YNDXT&`-! zy2lyqTv{{M*V{70B_vJj%wh@uwI-*hrr))wc;Y$a=!aEnca$hED+%9bvu8r#hjnvZ zIG3h)M&09`C@@h|+WbJRY4^a%<4ta*#I1Mm3D#R(%?Y^t=ir%<#+k;(y>1w5Ji9o1 z%EzY9KD+YrjaTaOmU{Ye1N#l${nR?>h2@Mgw|0#$IopdPvKDU0dukgYmt<7-ux|W@ zZ`Rt{Sqn`1jxnGto+Ra$^)B#G^0BZSY-jXoO8?L6mO0Nc=4qF`v2PNYw{FR$(ZPFO zE3(#}jH(66`u;x~HELpI!fm78u_@vCfSqMXW?^@pAY zP7i3Za(kT{rF&@e53h!?yjvbACFb)DBAR~&1qC146s;}q*TcTPxIA*y@2x3H1E!}( zc-vZ?WgcDMrSVkzq`l$AF9*Jx%=ghg;lD6T&oxe7V@lvA%e^NxOvJ0}wrj*^CB#=m zTb&mh^e1D;#50-|MjPcy=Gk93`fH}+l$4`+i+A~d-#5x|)`62h`poZf&$q?YVQ+Hf z39}g)WBPjA%KyrC7`EQo_oIzwU81YJv__Jje%*jo$)aW#ci&ih!&>B+t$$LmW$Yj0 z^yo2i{N`DiCeKz~cs$AM@V(pl$7Mfl$+b2( zye?yq-L0oLzMhzW?#qL*qC2z#yiL9g+N~PmWT?N%@I$yk@-X9I9hdRr_kI49c7N`k zv6*R>qes2^#=WJo=-9y;+h0E7_KWo{mu8iSI6oL>veG5Q$I$TltO_~3CryKrKBjB% zBI=ACjfXweUef-++AsK2P3UFg{TcBNvjfW47R6|epfbcw2WaVSdD(2W{&-WYqEu;w zb(`J(4JG|gtxeV`5#=#s?G*fr6;&Q@`Mv&S^VS^&IyPZq=H*}Knbs>LUeZq~Z881% zd2{;2^?4aZ<+l^05=?Dcw>w_Bt2V@8f=)Op5G8SMN-w&Te+q`ze0!*E?o27k!Uc6tCArxu|Eur|i7m%MgYC>o6KEv^wLU zg}z9LQR0*&XbWB4Qee=Ey@$&4A;d#KRCbb6W0BRl3LDXuX zYSap#D%5hI%G6SzeW^u26{y8PdsFj(_9VXwEKNDXxeT-#{=7T25~wP*4d^InGDS_I zb^;wkew%3=wF}P2LgVpogB<{BLIq&`O^FSxz!nr`309#fbFdOc8G^MaYAV=^qKv?H z6r~R~guk>0wxlRMuq^(QI^b52!Ph z4|G0-|G3iv3jg(mg;XKXMN|>cB~&rcrBn&fWmG9pSE>x?a;hBY3aSF=O6nxgRa7O= z)l?NwH|i8n4~lmh4r{41Ks~9mK-W>#K-W{}fYRW3IGu<8+o%gbw^J8^?w~FK-AP>r zx`CoA|lUnVUn=U<l?|UsTQgguDq*K|vy& zxmp{*qs3(#}GJqz_BN?L9b0mj)A$g>LdP8;} zk_$g-fchdu)DI~^o-)Z3fjkwYiuyy28p)A>9Cf6DG|>R0g$ANQXfTuwA!WF6L&=#q z8it0W5mX}@iL}uuqyr_Rp=1I!2!+P{C)3!@l5xV~3}*x~8GsF9Oc*3V3ln6D%#b;< zK$ge~StA=ju4h(_Kx!uSxDqrCs05l0R1(ypC@F-;nI51lj6Y}wP-z4|-c5OdJ`{|X zPl0mLSfHY4baBlHq>p&YaJ37X4n7TLq9|`93)C0kp~Mg2{@srxfd(K6pg~9+Xb2Jm z8V-F&QIUuXGzxl`q7FlUQ&bG}KSjl&y+Gp-0veC@0ZqWCFkbutdW8B7G?n^={UwcR z2YQ@p1DZko1e!(t0Gb1?MnU|41A2n`3bcUw0<;kA2Uo4fGQA3eN$T(E+%qpxV$=sRuysQ1^k}g(F4X0|^SQZv%Zm)d77--6&o+ z3W>=mHh<=rtX=OqbFHVg*M==n1oM|VLK(~gGo;ALqy{rN2515r%*aKeff_@w`@wJv zQus)5mqRZZQYf8V4W))KC=>N%)Qu5W9uI?%hQdDzl~BVZ0VMktQe`$zMI!(S4~;@d zt{Vx`ahwYx!bo`dB$=H^rOfFiNSWzjNRaTrDulEwnIIj*xql(y!IxxqB9&t#Mds5& zBrb_gqDoW+<--}C1sb4o3iGnbO8AIQQzMwKhG>DtNOTStSTsMwUI{+m8^$EC2L{2b zf{{Y@I8X8*Qyc7Yff^-=&XHD#1wsKr7n$j9VBwMIBIXl9oJSBViF8-QOC(Pi@uD!| zWu{>-LA=}*Q6ZKf;wk8F9cxIQFydu_eFmahbOqtb1jZLg7R~S7XKeM_;Oe2h311^h z6QOI&6Dy?H^E&+9KA7ThG{U4}YJvvK;0d1#gNFqS9#+T zPnLkfDq{+TaL~mw8jeV5h$*Z%AXzlOB|St*{yYnp;;ag=4w=kyM-px=b6ArQ?sJ>* zk?Qdt_f`kDB`k?h6QiMnaY>UoZ!4q3Nujo5Na- z)VGOkdbh^B!@(;Ky@8V*rOR@Au-tYXhU#d`yE#JK_OJpZ*h^V%dsvJ?614m+9$P!G zr@?}haL;GCr@^AFD|e(2w=!vdFZ+q2xAR_)OH%>^NDM+$yU zAG?Dk;ns45g(D<^?^U*R_5ogC8e*$^+heQau^bY#leM}vvHDPOxL1%(Mp7Y|xk4}& zlmUjBHWMkzpw|Qi`xS;^*4QHDk$~~0JH|;Ef7ID9!0X|utow7A)AdN97kbO$KPNtdr3cn3eU=dptI=!TJG$^&Qa@Lc#i;9gp73 zLOq$_X^1PwqW&ME^(erI^SS?bv>q4Y{y#*ku@L+JjMnl?oedX5pU_A21${tYQ8O5` zOSIy}2c*tu)ng2|A{FNMbR;jUM~eP;pdJQ3ap(!0{t8qM1S;e02rrPa#s51p?+AhY z@5tOL#Qnb`v!4+6|BlQfLfro|GRq+hp90YW(?78+La_qTf^_o~+pr`$LVTDQX;z zC|#PS#?u^X0xd#Kq`A~2T9lehi&1*CI5mZqfDro)A*KT%rVSxB66U!^qFd*DSdv5( ztA$(HWF{v0njFc}R%k?L7!k}LuGKSb4ifEH(~{Xfis4MN=i zhp5^q#Qr~{szkiAtztC3>k~nLXf92I{RC0fPfiLjA$11TZ!(|^5FVKFuLFZP*cPY1 zLW~1*A(J!*Dai55{|c%h-DkfwLTLXp#@^wDWJlfqJH|4Fxc_&IL8Cjd|96Z%72^J% zF;?u^X?@`s>nGgFbM*!pB$cnAo1f2Uaw>7=OGRC zPS-A)C?xoI%+U~#ICaLHHkMXn;4hMhxzIASEG-A6GYOAH^UL2B#!eG;@O_YAB}?}r zr7R2o6qJE5A!}PH{@Rvbf@pa-qiF@YH`CybdW&HN(cco_G zSzX5&^^@yEa`1YNos7-NWUPQ1>Ap|`YXg{!S*%Iq20;sg6N_N2iKv8DHZ}wee2|2p z6-kZ&dJ-9TkQB3MD;f?sBXGF@XTUvzBSWNwaq!3tsZ+%f0$VPom1t#J1xjZV9$3W< z6xec$*9M%6ZzyS1QceiFyv7@Nkm&x@9C6rSB?++y*t1c?MYKAt!CDiSBU+Qa37t!8 zVm_#A96nMaR}SJE8lnc?shi8*be&oVH=z*MIL*VmptKhJGCF|34WF_Yi#U+I>6%Lq z#9RWzTDXG;L}i@&7vdn2CyY2y7;!Lr(>0eKjQNBRbqJyok?yMFkZy>B*+mZAlu9fb zN)Mxl1BWx=0>H5X0}I`;)1)_9BvbYPqcp5?04}6; zSOd?eL0MttJWLgt8{N)U$; z>8^-lyCIGth@vbaGx`-8s7cMIN#_d;9Q%ME4*drr-gSZ`q~kat1COUC&@h&e?Rlc2 zkOzCNUyUV+2a~YFS9lwmP2`Ao1H{QrIb;e9JQ=QNT92MW49tAAKrk2Svj%pd^)Y}z z`L*EDU|_5U-bZ#3GOz*3gUm&Mj<*c}gc#T#3J^V&HLwc}1{FqJvxgu~z`1`R8j?I= z#HqrFMyvswq^>#|lRRNWBY}aJn9!y)j`hWa3-*p=`8!T79*aG- z*DPIz^AWWK(dLAM5I!Ur=E1(_DfS7#%0XmA1vqWK}@hQOg+ZLZmK6@MwE>*wv@)F@pf_ z?RJ94#@gR_a^#-26HgLQNT0Eg(};z&^yz6`A>SM(kR~{{n~HMNNj6@%U==0lX#x}X za-?U_cs6q-JQmIGjxqsDk&4PJRt8hjn;l1bHnp75cYz(O6|@sBh5Ju7lBM6QBHfJ9 z@PmpKLaxXTL6Er;beK=AlBAv3X6*x3L@!`naRm)SfiU9hs|1nUF8_tN5Od;cIuREL zBf7AzxPpc|#V&|2TpLofBhLK`aS_QAMsyLf(_(rFy%ab|R70biE14UvsNY25f~=$= zdjNb$v@7K%P9AN+g~0Ka<05(my^@XX?8Qiwu~~w8Fe;HqNyD866Jm9n&*CH1!whC< zP#0H>pYga0Ov+?0L1GdfkV(;Q)EcI83DQFDtR3BHcg!yYBSB!6;M}e-@*vM<@_{62 zcid_Xw1%~yJG};%3$RR~*FmMld@&)F15J<=N}PjT`b5K07wgFRj_+$MT68?k=4klsQfma`5{l2)SL5*Qvo@>(abll)ea z2boYvZ-YN*56mQ@ScvVc$=1;jl(?B0&4iyGf1fmS9l7d?xP#;gBW@Q)+(`@vO6_E> ztpcSy{{gY98^lh5r8If8H|+x)8wi(0b49_d#p^c+EiiovGF_mX_P)MA&H zHl52$9J3sB+(tzDQK*9cqyldO^mhd^G#=3)@hf2Tw<1k377$hzu>GvH z5sktO0>Ixef~iw959j_vV?3>q0=~wh1glL+`T!k32SVv4=Ab)LnRt+}5;BEC#!25#Wilz_I!L0Y;azux)Q}Sjy1T$MS9~+8~uv-94GNedt_!;VEA!iIF9FVyM z@Q5>p5Z7U@E=Qs$jP=FMbQoq60M>vH10Y^_{tXyT@`M4yga9Mh7~V`rU^W5ZrRxL` zFN^*Lj3jx&fDr=Elwjy6IvO~(GMCpNRTY?ZI?`nR2{~dbGDa(ryhIFS({wBy#~Kd% zCZgk6!)>GEv5-Y`{26?tI7^|0&`w+den7oV$Z!cH4>BQ4S;NJ%?Mz$+hD&4(w~bE3 zYy!X~;HCf=MTENoCXqa0z(gUyWHyGk(aB7RC&V!G4}>G#5R!!~l|rY|M}cDpBfbu) zPE2E&F`dLeB}euq#+S_!_}ekapy}guIyRd!JX6EZ&^SBk3?^wEsDpv=)AyZ17Rn@f zkO^Z8d%u!F8YG6n5uJriG)SG!!farnJi;y!+KX5ym0WcNgsBH7A;2tQz#P^@JLw$e z+Ir9_h0iUlQ!dF9hR6|^Xki|mPoDq|HlDFgM$4A1w_CeL_<15-z+zy^E^_%t_U^=o zEfrV}4{kJFL>IFThszON!rIRpPU^itS6nLvZw<9HNb6JQo*2TQ( zGR!A1pi?3-U_O!Vidatagb~Yx5i8i>^rkB?p8#>rd4kAxP*=p0-4H7T_B5`ftLRg} z!Fm$_W_H+9_-5oZi-9S-#OEK$qe-K65VL2Xh^Eid)vO7<;S$m3*qDW%Ab?9DZTpWU z+MdF>@W#$=A&Wvg*i0BM+4~Rnu_cqZ9%A+aYtY^F1tm4ZG170L~P)jG^ z1!7PZ@Dgj!-SnldfQo(uu<{>(m%9O864-NI4P8rL0S=IdWYK&?VS<}!z^}QHYM}2G;I6agc5dM7G=DhVWVa*A`v45YDPe734}1{t-nAgk_{g* zR>fh&uz)rUa|zJyZYF3o-Oz6Tg*Hqu5znXZ(0A#3z<^f~813EBRbHz+w3m7;!`I|~ z`93~l6F37&<`UfDJ%gK5Pz~2b!JCXh zLe_gv@*wjNzCCFyr;V{wIu{>Yjyi2jKA1c;4=1o1hM?uz({@j%@;&B z|Ai<;5FvaZbw&J4@`MqaF(P?~L!#Fg`YZhnI6?>)cA2o#9L1@8Bet-zn8Juh5;@YX zRH!(4{}J*8KKUK4X!-~JleMbnHn3_NYgPFDA1vPKlcE8uh{m{XcpHfd6S8VM$%9N- z=h45Y2uZq)wZTp(K=g0cs$ukR%qKuR07D@3(^n$h74Z+r6GkMDF_gs!B6XLwY8XWv zS_qLQh+n!Q%I<}YQWj7M5kRgPBhC37#GyGbAW@No1%oZ$=;f;IzIFx9z`n^5Aw`6Y z;h&Nt2j1osC+~O?PPPbf@PI^f#5m&Y5V`{wawJ&0MsXxCn?UEr`B-P70R``aMzMA! zI!j_soEZ&6rWAEp5_y8upqCz3$YtWBdh(pX3c3$8#v)@iz6$;)8QF8WD#daAfQN0uYU=>_F6gbNUp znA6^<&%}5NmSlX7~)70v0x>C0LZmm`iMYt1;0B4!q7>!L)o z#nr-#EmWM4HT#h~$czVTDp3iN079(U%NzA#4H?H##!LdZgQI_UIItyWx_WIec3rb{rOOi6 zmD)lpCyoZ;Aw-9NN{(dZ^u-&t#F97zae<(fV!R+N)i~2Ip_mpc`|OB z{AU5%fE95Dvw@w&8H^lQMtp6eKZojZ<8(?IDHdy_G zakoETwM+h3H5q2~0jwGs0AS0QZzx;1*#v-j1fY;A5K=Ad09H+gIeI`?H5-@}L16Ab z1V#i1t7fB580Y+h@oWH5Z7d@Zh*j&!tP8}d^~9_K)dsMANQQKHb+uGTwVQ!hwVuq2 zKw;H1my#NnlN!4UxE~Z&O~a2cy8eTa6GT+gVXT5s40H$^k3Bz{5Q&XMqLMl(q)Wd; z1e(wIA&D?<_y=Qd7smI`38U9P7%hVNIvfl}5ds~mGl_&RkvK!07Sdr(2pWf4+41!> zc?TWak*`vnY=8t4i3`+u zA^!_54@sa|>|0V3EbJh4mD3If%~}|vaAD12l?i{)Klm?%6U|04JtNRz zu-Qn$!#$NuBw+f0R6|`B((F+L>9-C>D2GPJ{e#gfQkb#IlrYBrgHe(pI*eeZF(_J~ zLs$Tj$RHBesH;Lc^p67TD6rPKiWD#hSck7t=u;w>-c>F)3SQAyV6-#gt>xz+E~qCI zrU+=l@vi)247HEuYZe|Ytl7#?B2h{tZc#UdG+S~Q74+#;Wz`PO1?nzMwr1Bd>+Yao zn$%5176k%*S9~C7Fj+$CiWVM&zcpaeV&GANJX0Hk2E*ahVKiGxP{m4SRxC0QG-m~v z0h&|%54el5s6a^4gxME|)L<4E!5ohh?tLS70&#;tJft27wQozjaHkqxB8=Dn!6=i^ zg^`V=YyV(8n!va5y95#&{9aUnQ3jgvlt?^<&lAYo=%iPQ5{03as|jQMKN#(jx-f#> z0rAN{7@Ly#It)t|vV%xV0+IMgB%V`ELOT3NM&p>Gd-(Bn6Cz8n&JGp%_MM19w?gVF z7JLLcv={4zr;Z4Dp~yFO*nj^Ip`j^!?bfCUSxBVrDyildk$6MBCfY^j!qh)06&0v- zc!JU_8#%8LS?mcEuNy`X+q)yW9R@pvl(9g}PcK4_2(eM+Szjd<|Xra%~77yGrkZwfyi8H*l!zA2?egeX{Q|t>3MOXr*+;)3WCf=zwhA&4EZy+7 zGCc4mEfj);^(8of<);SB-O z{wI($yJqdsDKZC*g<(>b8J|Oj2Q~sY<;RHkK;S}ZrCK_?5wDf`EM*X#;E3#cT8M?q z#O4x*?cjrE0v~iyS12wsHjf1VL}qIqURZr;AS@CIB$h<1$yFQmv!iY87tSvwTus4b< zrv6BZEF^t^Tp3<9p8WJt-@n8iiTE5+FJD}RQ7>fskzl|_NTUmp6;uuDRN<=Ef(_Iu zk=b2j#g~yw%9g1rM3bP`WSF)>GKR1U(=sqkunk!scS?|fc78Doi;7r1RVJ{Q4Cnk>q`im?St@tRFrSK%C2=IZ5+O&TE`d>? zf{nOZB#y)cohex=3V-8GEF+yM%j_y63mR5i>KN;O zzC@r0yjF-OThccdV6}d@xU=V}G+4KSHa^{jo|S`H`mtt_uMjc|b@U36 z@$N3Oqk?D#Z6C?$&D^a(2Ox^XFdrqp<2I2Z>trMgw^p6%MV=(~>EIhj_zn^DE_@>^ zh55GcC452M`Kl|47F3ro};9e2TMHayD{ir}vJ{5yekO%V+3y{K&T%o6g0Tv!505RRGZadXk^-(OT z$dGY+)M+FG-X_KvohIJKSHu(s4NxSV;Pgd(1Wk}V1B)8(ax_Sz4}uw#bT(hege-+b zj&~PII|H4q!jzpMcN~0nV|Jp30TLS4r4GZh{C?hhmP93A0N#hdN|8k{d^f8sP-~e5s+{M5-9)c9nWr4O*!(KdXhc8p4KtQFnIlb9}ANpF;;>q^ZR$ zf_h|N@=&KlU@V1%N2$aM(B$Cr{88!}(vTFXC4DBg05}lp&#tia@#nw7K+@pD0CW!L zb^^&=Czp7(5y4O(4c1g;W?XYu#kOO?Uz&b$W zMHDZX5@Oh)31SK~9f-69roMR*f?XX4`#=pXq>dRZI%kr@F!LHxBDZjESFzQXz}D*Q z2cd^AVVCWgeGU&HGGO+L zz6WW9udzE{P8YuWFgpQWLw7#&TB5*cCa@NdgdG|)YfCA)R06q>8!rOlpt!77E6NTOmDgaC-1$1P5^ysoucMyqc*GTFeFA?NE66M$Kr($EXn`CA~QJF zny+79fd!c1H<2t1i!@_!6URDNqYJX=G??a~*~%TrjL$8kB0hjz!m2{{7JQ&Tpd-9V zc4rGk9o7+9C1F_-n_Mk4NfN}MMX-?J4(eK^J&fs4B~%Ovhcu~jFu8;(r`*O1ndY0! zmfJ8n;t!UO-6nGqYYT40ckE-_VVEDj@tZiuqmZ`UL5Vk{2W;m}5_nwwMHFhQ3^P5&D6y zNBu=-AY1%a#mRbDJWj1gVq!CZ1AiZDR}V&Y*$UdMuSaG=eCm>X7D?_hw(YYhJp95B5ZZyFd6v)?}pv@5 zqIr@Cf`BB%jf5kD&yd)#f^*c!Wgw5kJ%pS@a3O$2zJzT?6Q=Sd@_@rDU`i=5FV=KWh# z55K=~jVF{!55sm*3=|dgT50EF8PGc^7L@&{KCg&4+3vW`zG$Tv+jT?S~w=2j(fkMrD zaC2afhW?B^8AC2D%WRRPd%^Asy8$B-q$w(cwt!xuvS=$tj%7}KMk|NXAjgIO9don} zftHp!3r8A$(xo>XIrw*U7~3ys@Qluf7$1`Uv6s|>g<;lWL3+aDgx0P(@aUs^$DIFy z<}$S}kc<{zP`U?KR2z9?Wk(?&tZY7pfrLcW7^80xvpc_{{{OPWXV|+Wb}0J_BS5Yc z-CxKAwxZ+FZj3qs?ZK#d%!F_MHs|L&K1^p14-{C^N^~;Xi(&N8J`9tKHG_miRaxcq zTTp-1e{|C4VBjJ~Wp=cn$wWONToci$$RFbxq5~K=hoE9y6&6>u^*+kU5_g|=!MW>@f7}XI4W7MopR3#Sm zm)=QUr9ozS?-)tm=ia||za|DLb=Bed?%rn*1p z?fxXyvb&FUpUF5RCc*3YDxRW5I<{%}vv8gYV=GE;V5UjYBFq|fS{k03@@HJ#9ZZ8L zy8ai2y6rc~IMc<=ZY}XIy3btzr^Hz*@loLp91V;Ro zqj1D`2y2Trqib0BGrEq2i|mBek1%rqbFv5R2vZ_!0?r%!qye`Oca9kBBEk>siI|EwitGTBjq6EU@H$vw zV_byXAwi$=g(FsW-+B|lF@Qaq&r|m6ACB0s3lD5^|Ko|pbCyS?V{N-8y89yorjIl@ zkhc{Q8Hoc4ulHr)KiQZNY^o(Xh-tx#;$X3X=ovP`VdjK1?GD|Xf$N}p6xahLM(DB@ z!E0gI7?*7p8-t!>@v-Oy7RSp$oRHWkCP@N*AoZFIJwyl%TYyEAl%?lFzjpw=6&sIU zVW0`j0!uGPi5)(LUOOfCZo4lP7l4qa;KR&a$V%`Xo2O*Abkod!3l|(Fg^;< z>?`v0#Q$)+xDHtd^bN~aF`E_WnX&?li(nwc7^%}k8QEAQOJ)*yr=!DEPsC=RR=gg^ z&9dy>#T@s_!Q1wthMUuRbWA4QS%t6xpJyC#{0kPt#h$U%S{U%XTli}K5<9!43``#0d+YQ)OEdAaTOQTxUc${NjiMr`fdMyN%y?> zf3IG>I=ZU5>$Sx&Y%iu^?u(>J3)zNqfd7?5{G}bZd zj8cT*S5V_fz+f|!9OXSi>lt`(S zPsp9%A2x?*??kiUb1sELR1+}~5j}-B%Pe=derxDchPR=_7--VZRLgQ_D6_y{uZ3A! z!cpSCEdGNeD5%HG;N-qiluj|MV)6KVMxX(orES7xcF|8o{2%?)rmj3P0$K#siC*d> z+wR!D#z2sLB7nP`x_-}QnLMepPC491oC(|BQIA}ObKw$=qP%*hVfYiNmEd^_9T)H# zu3y={u7^>s?v`4*>XAfUiP0!OygNdiiNn6P;bvG2W`>8?prih_J37U1lk#$@SU0VU zc{K~epw*)s-RUEXJ+q|1Oe`R^P->%t3`H1QQ;MxeQRbF3Ty%9OL_%kYMF>wuXnjIXJeH_iY1@ zc?s2T^0RH=;aG1T-%)NrrpQu*D8YL1cJP5#b=J7{D>tE?=z2bZEYbC>q#*zDo9p8oIZkGWsU*byiHWl+5ur8%YH)(R&4rc$eZx5+Hkc)Lnm7$C9?K^y6GK}!n$m-2#v!q!?$pJk3Szjx?mW_P51twJ!o(=28s?5?reG`;>_7eD12CmJ18y^ZM}CRg2=au9 zfsijue2*Vafrsiey4Kc4*IsP`-T!=}t5icUjtwTz6dn)>tmR6Y(dguqG&LG}^4!4? z&PS*i5i2$w;-ngPuXIR}q_cc>2AuR2V=8e-YSPNIU|y67Zt0}ESv%Z)4(ddHEF04J z&*ER46XQww{Koq^A=6LUrY=#Js>{@Jbva+K1vI5~@Tf z;Yw3=tI|S#LS7(0BQKN}$&2O3G zN`W>s+5*Ww0urJBbUif%CqE|@>B9`b%(lBtxzlF>FO?Jow{4yqwZDr$xkaW zN|4f6cFA*;MoO^aQ1>fODYKOTB~YH>UU(n;Lb1e*fmh)Q_u`JQ$Hz;_9^r1^4HCrN z!NAfG`Fx^1~ycSu!v? z^VReS*9RF_aKtKej4(eqBJ7ELNkk|x`G!L#1`*}}H|p5SYYHL6cf#+4`NPW^;g&}D zlCS`HMI!|E7Kn?4H4+gCP$Ufr{H+mSa|HrU#iYG@&R(;m(smkQjrEWNI^cB+U}79$ zLGU_ixS<2yumFnDcfs(6kxeX=H|qmjjtN5vYXUgthqrVU)%6jM3Ec?`fod<{m=(~D zu%>X#3pj2CL=hGW$Gw0P9)Lqj(^330Va+gx!L9iamdv@`O{YZQNki1_cXdw(*b9!fKLc(0cSk?vlf2;;)f99>qtx@EDf#;LJbo99Pvj8ONWnyZTuEe(jD=e3Cnf&py?*!vK7~N| z%mPcgOIQwkRxg1^DZ>v_GBG2Slm%u2f3rx&|3Fv=_?yRcj7@<0zp$VZY6j^)4jQpp@Z---%rkYa6tVV?Of`58a_^G}E|FBtv73xt2c~q2fV~7RgfLaT` zU@~D3>2VfxWB#xJI=KiN0)LnasGgAF%%1oOn@(p5dzhMhe1Af+Xehw!JYs|c5HJcR zKpzgliBQ5GrNGAzf=Jg;HjE8Nlq7GKW@}n01(!6N+Ds|E6#YBBOxOr&(;z~tkCp!$ zVMX{}k~nnpsBDFxb4H5b3zAkl2~~`>G_$JsFME@)QB={C2tBGrLwO6rMpISr`S~Fj z>bTP&@QEFxhiuTKsNEDXa(ng^VPmOX%Wc>=Hl7tDu0d{n`Sw8&h_m5W_qDF$^Q(jh+V5eTuD z;4NmF7SDmZ@V9SCK4H^o2m-2jH446{wf`(f(O#G3sCuulp&|h+) z`Wpw{4ykw~VNcNr1RT(lXkpc`g|IRa%s|p-F*H&KxXg;`nD`uFvqb;}V}MMftSY7x zHit%e0nt{#Fv46S7=)=I#tP^`*jyUp1+%e5dYCOG~S{pAE!=>w3Qm(X*gD=d^CJ9O%N&b5lFD-{Xy8XG!Y4W z9{$eA`HZmVXj{C==v@F!vS{3xN!SvagsEw3m4v47z#$OSaw$#m*pX_Hd88*{%V;W} zI}E$Ka<-hU5Z20<#EOA*S`(vb7MX!KFIY*_(6AFaAl(YUJMSu*?g8L3#~$f4&9K1k zV}z}y86Ml5nHE6)Xu{UeOw@BjPpF**P=t58=V?1JHIHb+q}kDxr(rdW-A%h5)XA~qm^DWE+SWx@$yAS3;3rxTwjYPkkNZ5W_psgeD)G5Gxsou8HjP5*eG~U^& zXm^iCdhj$P(F3%HS7M~iZm6dZShRP?0zXI}@Q6QX@{JB<08Z;(pbwhX5!%xP82!=1 zIHx*9dz#A}hncw|BFW}tk@m9693<>T+RIZ0YB=C(2Q4&VM%w+y5q5+YdSEQ7w*`@p zqtBOUZ;y5E+R<=X26)GPc_NfZc){~0!EQnLeiBGFLHKbhuD0Sir&K@BHO_DAXSe?} zcp6l{Yb%L8hQ1;aPiRh$)=${4`ZHp0NyVxPzwtPZYOhBTdn|obLvz~MR~kn^W2W&H5z>0p4eB|qS4wijbQWK zj!eKYVqa;)+mW%M+vd6Jn1JoXzDjH$x3$tWwAN0Z+ins$znR!q+XPu3Allm0cbTK# zRbpRbYa`@%$|4AXoRPd>5kx|K5Fd}{xVTzk=Qg~97>6xU*Kq6PJdNV_aTT$zvnBGH zMfhxIy@3KbO1=p?0v707%*7KyeGH=%U_osVROqY;*zo>>hZm5RO6(g26*?mwGnic8 zZoku?6Z zp%o7=2aFrP41@KLD2H(5XQ$_+yXTj~98iqi{QUL`SZV%nFIiUxhV3HZj2x$X)f$ME@!__OPEi$x;)*F%}cbms7+4fv$w z;8F-zgayu=GXCjO{M}1O^k2c0Iwm~*)Bbe58Vh0eY9)-vOXnXIFj-TOp2|m6>S<-BTZMc-< zyBo%t8fNZ>Z8|cIx7Y(E+I3bAU$F;9oAkSTV6J&Rcdu@8W_B76-Up>7ed#`M>9W~8 za6e265LrpfFml|r7cbunp}cax?yk&?Og^;=#^@4R{CX8UDO{JC#veHVj~R;G=MO-z zq|@DB9)uULQl)34Iy2mx55tfaJn8~O`@tO z6-~*TIlZ(fZ|vB~rPKKj=ONtIy?ESsUR?vD_`DkQ|B!3YpYu!Tk{&f!!#iAn@$S-( za8)Q!(=fBRWG0r?G*mL3&%c31GVChE@|#y7%zfzsRyDr#I{5S1*Kui~@&YvF&t8F0 z2Yv!#K=GvF$Hw)VJbDcO9Z9_E8orG6)@3ZbH!ona)t3=lc^M*k$1C{FhU=G+HuyT? znqSBE8h;Ic`d)#?{O&b~Rtv|C9$7MaU_V}V6`QrDB*U(kGKL6?t?cW)tCSL4PapSZy?w` z`CB-vxCj3Pqa@o5jD^UfuAri$Kf}Z9)zOs&Ut>S@HIKLrW4cP%-HLg;r2Q9Xs=c!# z5n1$Rf95woej66rl6nNJ;Jto<$&o3Z@OH#=6%}WGi!5 diff --git a/System.v b/System.v index 8fc4c9c..033e4b1 100644 --- a/System.v +++ b/System.v @@ -61,6 +61,7 @@ endmodule module CoreTop( input xtal, input [7:0] switches, + input [3:0] buttons, output wire [7:0] leds, output serio, output wire [3:0] digits, @@ -93,7 +94,8 @@ module CoreTop( .addr(addr), .clk(clk), .digit(digits), - .out(seven) + .out(seven), + .freeze(buttons[0]) ); Switches sw( diff --git a/rom.hex b/rom.hex index 0e894fb..6d9f1d9 100644 --- a/rom.hex +++ b/rom.hex @@ -2,16 +2,14 @@ ff df 21 -18 +16 00 0e 50 06 00 -f2 -b8 -c2 -0a +cd +23 00 2a b8 @@ -35,10 +33,12 @@ c3 21 20 00 +f2 +b8 +c2 +23 00 -00 -00 -00 +c9 00 00 00 -- 2.39.2