From f8db64484a25b6970acb75b35188efd7089e572a Mon Sep 17 00:00:00 2001 From: Joshua Wise Date: Sat, 5 Apr 2008 00:34:42 -0400 Subject: [PATCH] Cut 1 at interrupt support for CPU --- FPGABoy.ise | Bin 240410 -> 240439 bytes GBZ80Core.v | 60 +++++++++++++++++++++++++++++++++++++++++++++++++--- System.v | 18 ++++++++++------ 3 files changed, 69 insertions(+), 9 deletions(-) diff --git a/FPGABoy.ise b/FPGABoy.ise index 227845500b0c7f94cfbe06aa62cec21e47c4f84e..3562e2939347135378f2138caa6b9fb7e0726134 100644 GIT binary patch delta 12997 zcma)Cdt6ji*PgwBnKO(B#Y^L$pfI4wZ5Wj6h>8d!0wN+RDk3P}5E11f3SKiSue>W< znp#<}rIi(0jihK=<`wVP%v)wFa z_46K<^B$l0d$}%aYHACCUdzS1!bOciA^ju41onAp$uPL&^*Wv11Q9NU94)SCeCJYpRewsG1=OaBf-0Km`5L?I<5(` znWlGzoX!SasLDi9C(wD)eE?QCt4P6Z6B;4*|2>3%OYQn7yiVKT{NSdwg5~%IEnEVDEe9dGKOyH4XHg1>N|dxcDdQ-0cj zm+6n17+X9;n}t9=mieCWA3Jg!h|}FD2;vrR`^Skvf2MVagh+KiMP$bz&4N*U?zKEQ-GF~Lqk9oSp#A> zldc;*!Us#gFMP<;i~Jb!yP1uCu4YZ7E$G#4H3AQ`YL}`P4{Pits*QYN`mNEp+sCp%WA`wc zqJ=x%{b*V{@C?-J0+q-koiSTD$+5vzkRGTf??7pwR%}oV;4I@ z1Zqho+z&L690=%F_B3&QlY(6)W zma6-3=Dzo!K2%F)!{Ja9`4Zg14CH%=4AbkPTx$3Vm^MW1#qmY=p)^cOPQfc-W)cIZ z!t`VX+z&Gu4MHovsuJ8(p&Va5!u3U-(VCvZA|CC8ILJ2`NKdFW`J1;%Id^*F1YZEDYC@Utt~VJr9lHTHRl6 z$eF*ot=hnm34w5jVGQ7D^rNLd5NV8~U0k5ls1?@QF zE86qv?r|JWF^33MJ5D={ts$e{xXAqhUw{?AC|}}&^zN_n=E$rJMGEKXPIsh41Q8nP z0_8pP#2Lbm+&kzN+~}z_)+k@&A(fm}{)@M#7YY;j&7%85i0AgH1<<3HiTnkHz4W@B z%FTSA|2;)Dm&cO&2W;xa78HHLcc|fCDMxUI{LVlk_s~Q&?2FWjPlYM`zU_1yZbeGF zMrf3tY=X?FqioH1N9)D+1p69`Y76PnVKmYWYNB_DcT_6QI{ZC|kI@nz$c`x@!(m@c zmTsi$UVdY2dQaHTv*|GwLSyqtG^~g<5HmE!YRPyw7i%KZz%x!yRzqZ*Ui?Ls$+MZu za*Z2GgGFdy-DPk^x=@4B6h;TMg?!TmU4qLhK2Yha$|k;&(!PPr-dZvPru5Du3*m5Y z1F3*Vy-nl?h>X{hV#s0N_n;=;Aik&c~CO;^$z>41@A;Xc^MKC4P-NvCThh- zWerCbtbn@2FxuY>4kYTuZ`Bq~Z5|8v6HR0-7zdaLLh%50VhY!JZ&0&3mrE0?hK&OZ zWF#~V$P$y(+c_rrcL+@?(oJ_Q;ljO!>M6Xp=g&}|q}A3qxpiXcj zNgoj58pAi5SC6SWaG8chsNrBB2R2!Pw-4x%&LOA6ti?srDTyqU>sCL z9>T^!S~3|v8)RZ-Uh^QWZoTU@zSD1BtlZ608gvDGQ}w!9mp8bqvks_7@yUqm1G%YL ztbD0YHIW3klWHI};GL#t#biR7UiZKyhL=a@`|Sk5tBA3#<5%5FnDDYSg=4cM({)I`~4RoO%6$CID32SNwy zNi$>)*0L>O%3uTe9o7vtF`3S>_7C8eA#F50GR$NLlx7&nQP`AGN!|k8kSunf7Z1^r z2v{=2B>qR$mJ2RoT!P#c&^$yhu2tJ_UXuvEnI>Jj@SG<+=diMYGYtA0 z$}Kx~-%;9tQM80_j!}ozk$l7pM)@l5o$&%}8fy}V3WvF6 z)-Jd`HjAA)@p%Ta6N=gQBiP8k7eiB?R=1qi^QlR=s{|fl$qsmyS0o-)D>%=brBFUj zFV0r3wPR+$=5a+N3Ow^o?37Q>H;DCu{boq=f>-hvlRrT$(36>9EHD^<6Z|>bz|Ym) z`IL=%+r14}Z|Gafe4cyEdZ;bXi!UiM_=e(h1oknu5V*y@dxLsBi$~Fk_qKl#V#kNk zJTI6s-k=*UbmD_0cU8^iq-mR>e!QPYu$x-V^Qim|Zj3J?sgOQFOLjrwge>DYbyptU z(^LurN<;tTT_(Z<3oR^Buh>d9#6FtJkHsrrzQ zpAik~Cg$bGt19{Msi){0ngrV}*>9`L!0pu?)H!^xxW%f~e7c`^Q@L5xJnrkrrGxs7MZr)L-n`9uD;J_pkyY0zjQ+%mV%sKlcsv>whFHTW! z-@)HgUEuK)yDDor^Nf*DHQA8zT(L?MVap=?f!c-lR_zlKINOxbEe=dDq8<(dSy zR?X!2)Ou*1Y$p96c8Vz=N^Rc}Q&ZG~I8UDmf*;2ZXn=K7^yDlwPSLVw&2v)>?7nrI zY9RX|a;ll+L(NnZ$%TfgCh?(qDOVtY04dUvi=ZnqiDT96d0yof$S=~1O@f)1k{xzI zZIMBou8QU(X1oqfMR{zG2%V-C-&c*~z9YIr<}@?gyVgz1B8%Y8v^BU;I01Asu z`XsQL_QW|&yb z-!Q|ZTdOGKGx(8Cxt;S2+5lpyp4C*wQj@eBmTK9lyrfhg@Vl^eT z#)HlY_QEUZA=Jz&(#;Xe z^C~PU)3aiDYne$rsA$LUq>g)_xlFG!y7l6k?f6~@;2Xj~fY5TY*j0F&GY7=M!g4L~ zhjr!a$=eWFp=XafITa@M#;A_93!tGQi;M!X(iAX9IKf8`p6go4HG6Hgay}QLKncZ_ zCf&ajPx$Ky4}-9nXH>vW$jUG}#|4@zvvk{uhJ#v7SMTA}=EIOXThBJ4g|ny9;Vy7z zwplkz?ayarv`Y0aKH9XskY1%X2C9d06?-pri{UHs^H)_GKJo~a#*+_FwG}p1>BUsx zMc!M`45zBH#M`QET&KaO!8k`x!XbOkV)okL(j2|GO*xK_8#@@(a}DGv#Lkso739v< zipPcTIDgP1SU5L}y}~><*N?_~!XpOS2;S9Naji0e4_P<>5~{WADapcRJoJ}vfl~yt zHxAWWR_nh~ZDQ}{>|18_J~+kfS#Z1BARZHj@JZ}&7XoUMX-GRLuF;b_P+OxV*|3$h zdqPu99ytP`^YkPhGUsVUlkzOjBX=5<&x@nU3aFo#rMsq1<}&sTQrScO00%=2LOWnf$0OHAEqO!olbjZdu!l ztO{{?sMvu)`J_(68rJPs6Wte& zLoyUUDkSSXACh5Ko>p(Ytx$AT&{XujK=e3wA{p=Kd-H&`Z;-98?Ie<~vHQJo$ue&e z>7#Oa@O(b*olbt#u!fbgb#yv@QbM|t4S1@AOeLrA)GXqKc{7PSj+;Te$zhw}lN!<% z-=9G`kbRh0NkZ^9*2W7pq!UiBBAyspN?st_C2TO3NbRlYyO8)f#)?%>EzBL=46Ov&k}(p6)Vby30gqi>`B+r$d>xm@FBr z@`T{aQoBW?BXi^$8F!&LmyGhXuM1-j>vCF%&la*3x?wH}cl3orOW)XX)<>$zPKR=a z6ZmztL%GM*B$>hLEqUB+1}dS)TaP0ydaj#KRJzMMTX)*0zc`!I5^@WL&+FjByx3kK;pT zaJLH@in)u(Otv|c;ps)BuIocZx%Cbdaz3)E*<`(%r&y|>omq1lc$Ewl#2Q zEA)Jkv?nc{aW9fW2hT|MA7X5CIQqYMEN5OMY8Cz71FtS48#NE+S*$46iZ=Mta)ozExxPC{Pt2TZ~vRDbkLOep=q<+YkG~5-3h0COs3PDLHOOrWDUm&7p`FS zCuEi5+7CO_J9vcisrX^N&9C!zncvo3xO=^_|ktE%wi+;(`Vl?z0b3`-K!rOaG^5@slrXeqDFT{Fc9kU+$9mEqjO~ zcFX*hMdQ8QlAjNbYb5L4Z~9n6_6X>SZhIuZmTUiIxPe`_;n(_O)|5g}vxlXWyO#{0 zH@)$lz2tT7B0gS#<}V!-;-iar^-F1J8>RS2kCpqRp}p~^eKNn(U*WR-oc2h%h$60Q~B8ZwcNi3zdb;@N+~`);7C#Y$OkulBa3faHO3s2 zU4M8GPaL#K)Ax`hX-nL%-o zOp`Q7Jx4b1=rz-R#pZJk3eB|sR*Jngicijyd^@x#4Le;RMXX-S!dEV^osrjF9><4Z z*hRK8+6_(b;sGbav0FIi5-GOUddDu2_4azsW2>iChHtU;Wft>>Z>18kr4IDiG9J~J z<-G5$$G0w9X)>_Qce0YvV}6n~?w>K^3ZuFD9jk-vH1p~(<%*o9CK0b+v1XEqgRe>z zEU!Gj>4JB!%Al-J1E{ePD-adX3yH&_U{H)NS; z5fj{U8fLL#uJ~4_4oSm=WpH0fTOl zR~bQyb>C5VJ;uGatOVRJ5{LgNH|VzDogb|P$(Z|-m3pAnw{?6seDISM+G6Pa60Z1} zomLM?i@|ionq@FP{fT8c_%=yolR6MzyKP+%Eg=7%82gKyM*kX~{6#*H-(8&YYfBE7 zezi_Pi?8NStolvvt6_6}NABzXHg3Js;;XwW^Yysrg$;MDTG+@uelNknzsth+xVuDJ zCvL-V?_Cyt>wBa>{r)e^x<}q{oX`_K#;5mK1oq-!{0)R=7Je9xlR%k0cdZh{Z!+;PS_k3fyqeT8p{8j?W&kk~r%=QcI#G*tFHS$3!H1L_D{Q)UzBKwUj$H2|S9j#+5AOz1XA zj!InSDrZUChQV%fnl!aFmK$hvV?4jP$$3&oTShDCu{MqhH|9%>(9%d|u$8Xr#MwAg zOIOGcjTZNgG>&Q>JhJ_sfDVes3@^GtW*C4EyeL~icEtC%suPXr$BU2a9f|dqT;}`y zKx3QvFVaoPEG-4fvWJRaoh__{QFW%(GTLjnvNN41Gkk;3JJZQB!x5b6?S$BgrvfN@ zY_x~gh=YBc5LJn_Xy`46y-yx;i12;wopuvNgU=fGRBYrBh`T zHTa`5V#YsHDZ?XvP8S`zIm?7x_CO!thUjS|E!f0>=e7A$wa3og=}ZS{$}L>iolY3qQoge?oOEcJT3bOcY~4lLIa?-;{O={=a@q+a zJqBb1(mm`j7$9{hTyG!5jy>cvlX4uf2VEo!m524@vfh2ww?fH<%q^&c$#8Q`m0s>V?~!4Y3#$P7Byc#z}pxc?L>(4wpH0 z!@n6$W)QK+$ZimaV|zSrlp}O^FfoFzaUgV$@P`Qbo{>$_zbAbp+cOSeU@v-DX4sEU zd&xLfph2u}+4cagDR#OLU2&t7XF;!Z+gNl4po`HO@)$qxika zN%BMZd2c$QwIlTRS&9TR`qGgy;+?oWo=%b(KE}V}oq~H4i~3lXD^Kv>_+1|-#6s-S zkB*gbEXD18oeL2N&>@VL3xtz}iY+Y8Z=xUoN- z=g2zk9qg9KZgd`?$MAc&E|I<{Gd#e+0o3R?Y*YS?69&+(-YzT-H}({B!(S(EBD^3tr3EHO4Y{wXP5mp#68i%C^7Mf|WH;;x@fW+I0h81L%ZDu-@ zIJ6%z2;EcYG?|2TxG+V!we6a&M`4h((Cvm_{-^XW`f9r7<2( zrNIU}Bpm)TWT$FG38CU^%f*p2Lq5zamWWaGB~cdK zLCaT@=oUAJC9%R%QA~q~!;(!bA53?$WN0kcOX!3I2PWlh%&4b1u5*K$Kel{WPX(2- zXH;x#cr^N6q3!YbPI_C}GdesxD$3H>!0J?~H!?OBJsWATC2%+Oadl&sm|m9DFX=gg z*AG&64BAiMpixH4k^QvJ)oQX#IYFRqp{l=HkKp;t~9*E4 z=jlXSEBpeJ!Ak%61-jbS8g!9XVAut!!J`-HY(67;)}AVunI6VgaT^Cgg%M>RKtOOrLC_HuWpP7AL`4KdL`6hEqehL!CFDd- zToaS)%{7UMsBzS|#3Ux}`x4`BT&_t>BvEtSzv`Z;p(pqL`0_kJ^{Mx~=bX1rS68>O zoo~GAeB;d>yxdDGuYV2!UQ5K|!Vh}0O8Sok-GKdG1`-7qy;jlkS5Vd_%xl)A7Z7poPw5=bl`U&dVTEw2hL4BM(iK&(Fq-{AF z38n1}#zfU+UaNkMwl&A37Qy;<22ut)+nLE`xYy3?e_A8z!(|55g?U0Wud??kk7qo& z@);1{K7)?C0X6OCk)zPk*GhsQ+t(1UBHsFLcITrg{F^%j4;7*~Q7PA zjH!n@-%Jt>YCntdlD-AURLm2eb6qRbAj{80(xICDUJ2{{48~#Z6M01FAUxt;A-&<0 zpTU0uy`zt{=aW${1n^kalfr+T$OI5g2ICO*BVP8IT45z$nei7P-ee$~V6e$TzK0r< zmGp#*CKEXaFPNnb#C6D^F^^$s2We3@bofXdBgETnLl5&{sgHzDd3n*xA-AJ7g1TGv(e|X@YNi)>q7|#PQ9P|tJ2lIAF_R9%#f~Pj z1YUHs8h;RKd5@ZWb*(t2EDEwa8AvZE>tyoJ)Lz#o*fSI!YuECHNtmwpaK=K|(@IPTs&_|>O@`6pqO7&`vKd?#b!7Cq<59@=?WEY%bn78O-eW+d8!RfjI zJk$6}5JOBP93n!j#{OhEzf+p8(SFEz5(A+o#4KJDoY5ydfny;#Bo9JEi;QhGGx*x% z=j*z2<{l@ZF4RDV!J$wKc^}kaX7V*ehnbA6+^Ts8Oia^xaeUD^C=D}^I#?8DC9UC9 zn28j_qcBTE6QL3Bs)XCxP>!$a;{H0XsJ62(muEZ93v$h7(j02cIphtfHya|(xCiry zZ!FTL@oXbM)4bs0tm}e}kH-f?T)2tsh3s&HXwijp%?IbeoNxm<0xQ|5?lygteQDNx zt!lxSJMc3&#T=Sxn{(PhtcM~@5mP)K^9G!LShIi&(#=on!;zV0RSM_ncynt?WDucI zKS5dN95GF}!@YwJz>Us^h-}R}Jf)Itnz#9QQZHc~zgdhog?Mf+>Ro|9gtIlIPOzG^~$e4Mm^v9csuonxULw^m8E5duh*mus_-$UKR@YecR?D+>W-A z_aHRJMCL$x%n{ZzK3z=WLc!T%(SJZ{moOT28>+i(6_07PoOQ@Th>tap#xOXxh{VAD z*i2)r`#yeStp7;(npYFp9YW)Bhyj+ynMo(u6K5bva4yb5`h#az6PXOrT}|R)Z91=} z@+{qe*{2uH}N!*KIiuRJvGmjCWH1e?odU1G~`+y5*2u zIMmHdhQO0<7V<4b$D2qhWU=2Tp*r3yF4Xw(#VZbko$(gqD#44FHetG^J0IuH1+jZ3 zDTLha2BuL(_vy6occ|~atZ~_Mp$}KGg$;&-QiJ^g}Fr}TSz$+C$lG}aGlQvozi7|KD`9`z&sJ11SX;4d@fr~q>9s+xtNOwr>ok;>=eQ$%fK$pU2yMF`+dXJ)s z&%xiCLzcmOYmOMNv2voQRZwp=i(jdl>3hmakifeT+Q$&F$;0^+PEYf=!jqob(d`UR zsN#aQE$2!74a)kM#pXISx6Jq+>iQT+Egb4&5le+6URa;z;F&UyoeEZ@SfzU^C6oLM zBKj7Q3$V7Yf%Jhd`dZjAufDIrSm}O^@AMnyX?F9H`tAYWekNn7+d3}m)C0QVd@;JV zh3tNr?D$gG&%zFn_xqViHu&^6v4dq|f0OZ!TP!~wjjj-0a?Y8*LUn%w82~H#Ta1FB zCaRKzW4gCQSH&k*jH5(C?xRW z3)VsC024U{=>rUGODGs%CMRI!01K1p92VeWm6FAUH07?g%$tSRWU^#gWjA@zd zfnJ98E3*M;N z$r<`yg|c)r+dDU;TiCITgt2O)ZJ4txBr7(9yhsE0>`P4Nq~@9_i@i`+8!x{iCKRdaj75N#tq z9^x;2#>X+|VepVlvK}@LS|I1apR(*`dCUjc3CXHjaV7Vbkf#ER#D>(8th*<`4h@f{KSvo^RmeuI#R>p6aBC9rrSDgMM)MS~&AN7qn zTg7tNpJiepZ)cf|L>s{q9k@!fm5);{f$wmGe^*+w9HH4p|EEBh925ibs|k zx047Stz^E|o%3aGffpmgA_qMee&azyGBm!NG&qKa^Sd+T7o9(M8~z<+XPZeQSjv8X z345}w#<$(wIdbk(T^G(Upay(KS;Y`d1uuV0FqDpBuRoe{$DOCT^_^xhpDlR|>ql9{ zNZ}B-OkEB4MrD!^h|e*TccGa5z6fjC@3C+!$6%aD>-f?n{-yz*VF|#CoFefPor?3! z%!jhkCUKbNT_+|DHjFMJt-v$a!k+S}xn{9OaNZ2bPhnB+JaPuaF(#4$5o62|M}-cY ztxv746JN67b3K}J^)g=5yI?2RPoZF}*%%|V;lX;Vv@gYOsqJ5W4*r+vgd*vft77x~StoALw+^;%n-8g3OYpVXd ze7`$-r;HVELRP-Xn5U`Y8jM}xQN+{D`2<$vo5@Z%kZ)nPJ(*yMPZx?gXLpk}l8C?i+?Mfb4TnKsO!slx+e>M9G|=rRu-7pGhTDnrOEGKrf6D?duM z`~+%>%;ErT7anooOgL7Q!}f^Ki3V|%b{O{^s)h84RL*!9 zO9(BIo|oAr2DU+$m6(kl!c^X%KI4RDJWuN)*u#+Q;a^hD-USHXzvj%*>$wgUE1-I^ zg`N3#PPQ0lsPgy4IMrl) zkM83KRn2IB>-C(k`qCun$%O-Dk+G^=R# z=)tScDunsdOzbecahgT^NY$L*Nv%JF`e`Pkr#gyjw)Ljq&o_iVQz5j>DyoDJIJ3VO z%qcSvcUW1rn#_gh=_dBNlQrGKz8I}w=`Du5zU!V zNC*D}_h(p*nYs>qRfgZyzRjaed=FA9Oc8=EgR9srUmeRka{FSfo<|;XSMSLKR4jt^ z6(%uQc%9FVIR&RGGQ~sMO)!3VY+dw6J_PqMU| z;4|CG4sUt0%@HfLuXqI`TM5pyLa%CJE8lcF`3OCEfP!mV56rIJCN7RRv-wpOQAt1S zgOyjf?cFG{JjCtM%?B8iOIGOFK;C}U0ekUqE?MT;VDM6@5>(WTCv!;$Tsnq~X#jT` zVS74;)KSLs0zbF2xzZ($6^ReG_E=^0EGoAGNyFLOwna&bYiexEQ zh}6kNpS|TyeQIa?4|yj3g2^+;n+kcF;qw`cU#U@ag^Na=6!LUZDANqxXEM(Qd75Ew z$slVKf^SG;hfs%SDutRj7{y95oHdrCLyGpwjWq{f%wfH{rjmp!=6oGz68bc_1te0eH=rK=R@EQxIRPvC7a44yt!^X0b;~Hu&P~%&3$jAo6 zgbDZ;Gk7>v&ByGyWQyCP8|(1&T(Y7)8^|}LuJ0{b(qr<~EY(64^=89iSP!HHuAWDV z^bOEt^n9JPAdbnduamq6o|Gvv&yoMdV>|OY(P`<8yLk0YvX*7p*dANlh6|REB@Ko~ zPtj*7S;7|FfoNoqH?9}BWC>gFt4m2QqQ?%)$SS9gaP1}@TBaZot_9%eV+3=5`_brwge~dkSLnr^&L`ZhdtyhzP3|=3o)Z%mz0WQK6o^4-evb||E0oD zScPAGsqoYOibHoR{IuTqV7KJg2uJTBt39sTTS;4-f$F`IpX0jV8m?j2O`X>!xug)( z?`0)r?<2|dsvbYwM^-sqy3axDR|<;w^LX_uDYSz!-WSXF+e6>oukdTN0N?zYTyx?K zb8y->q=)mmpL&;#P|06dK{D_wdbul&=Rj5RN%fX!0Cq+*WY}M;$gdA^H(rKT+o4rQC3PF&*GEaM6EbnC3|WfDPcXJ6$H*5> zw&D-5-*M8z2`xG-Lzmd0dyf-a19UOYKGC3^rqG55Pc&$!DbB*WlXmUSoK!^b%l?&- zb@T>odYVOFdrDDDN-cVvR>;w3Ev`Rpm&5anLPqbMSa*iJ>CDobJ<-~$+_y?cqz*Xw ztb(#l2fT8YOq4X}caE&#*}LcaWBs`X3it7^$rStS6r0bJTqiVdA-1_dir5+JB`&%k z?}+x}S0kTf4EsUe5gi8a^?1O=(B>hIyhw^=-=i1FYPQ4Kq53DAWEpijwB+mw5e( zT*-4Ba8*9Y+Myo78}PTQ3aCf0JI=o*Z{H3?P%5^)?xKG*{Y*b&QqQbKFzVxwD%6oeHAalyDbxN1HmD8l!omVynja~_ye==%G3_%yF>8tT_qGhz@`6| zpH>b;?K!#1V0?a;RXN}uNn(ro5Z}2cH-rOJ*#_f&R?^HIizk0p2C7(%1;03IxcG~_ z1Pl^rzbSo_GH~Z_N-cUW#{s`9(@$J2^@(~A?)#0U z-{b-5NzZk_%m-wh{?UbTvVi2rr}+GVlmRzfJdRK=W#BXfV8|bG1`lw}A9CgPHzDnf zd`$dP&fa0Teh`0fH5jqvq3mnJYY*ifc0l)Uk)?eN+dpQxZF;15Y0~cZM6bt6-mga4 z>K>C1rMIX9IP{6)ZA`nME&laHR>46$e}g3G+=c!gmqzVfCM6ozt~;^TrCho}50dvSE8 zR)7QAx*!JQzP6G`PQ-8wYv+RKiSM$N|)Rz`2C_3UD zSA^m3rL@7rel8rEzn9Vo|7lVpA|L+^5&0bFc5p#_gMW6Q>~jS#Hu5lz_IE*S`#Z-@ z?AFl*u?9DFR0C|3QlE?guUda_n@)Z zq$6b?IGkc+qIVnF&589xFDKhQY5WaAiVKbq;k(#G5|YtrcW4x?<%nv5Je9u3{m(z z%7wHT3!-W6Y#x@KAxMCq#dUi%>h4 z_`6v2=hmwJk}ZE?N(}XMRwkW?@?xa+;bQds0RM=Qwjh4|xUvx|y2x@lGPtq{Z*`F? zG@&zS$wX2KdJ@BT*Me=UA zy&E0Zq#^ZuRx`nYJ?Jn6@l;$APxBRqiTEMpk{FK`TA?(TxlpjL}UbR0==f{Xy*iw?*Th_Ng6R<-t3_MsRIUI;)}T%h$1El60dx z5)^zk*oq_ALpEuXf~MuTJneVBeuGCev05hDrD|nU-L? zCbY4_nv9Ek(Z`9-`)}eeM7sUi&E)(pl}w}$QPS<@JZ_NIu9o-(xW6|wG8#KXd;K6` zU%C}elIBL)^5EEL>90!k*htz*g9c*uxx9^4rg7{BMZW4qE)aI!BYhke2WH}6Vs*6? z?Zq-H4fb(nGk+%WaiL+yF54a}%^(d*c)vui6grU^>`w^sR6W+D$Tu)I{DrOi%I)kh zoVL0M&0)9jW?x#ZAo>~$`_T-R4$t_1Xp9&8(O|O^Qsn#pDzkHc+Fuc9AujGu;~Sdf zotC!K{pnaY2Kij7U**24(w2}$2TOHx%j>rH(`ktkv%uyxn5I&Hsjs9T5B4LnpC;_b z@icaNFS5Nel=dJ#ZfuTYC7iUX%cQ@m#;xjY{~1OHDr3&FWeleaL`9}8Y(M4Gf2kWR zmGQRPVj9G}`1x{4s_n=m7t4a;?UU)a#0JP59hTJ5EcXxNb$+&^byU!5BI9DZL`P!c z71{!S+d=QihL~vEm7VNlDqTcJhGWDY8f@#co3?dVGfPy2t>`Oyj<`j|cEO~t=>{6v z+4l3-bcMTQvCaLSnyGtqbVM|MafrTQA0-~9wf51a!*s4R3dh_dvMsy|K088-nJqj9 zCmyBi?4!oV=!eoM9KSe5OWdQQ;^HviIQ^I1cJ??uXdk_Qg4Wna(I@Fb`{=8acII## zeu{3j+dNOxH`Eee71kf3k$Cbn^|sGEI8D>+WyfIeGc1;*3GO_@a+gQF&eDpe(kLdX zO4U21f3KXhq~6&6G*j&6S(?vXnS{-8%sD!N8&001@8i`UsDLxh(?UDfo%3|OWD_y# z0554{Xl2SJZxOv}%kWP4WY7SlrG{)yDT{|BAbpe6tS diff --git a/GBZ80Core.v b/GBZ80Core.v index 095d9e8..57c22d2 100644 --- a/GBZ80Core.v +++ b/GBZ80Core.v @@ -46,6 +46,9 @@ `define INSN_JR_imm 8'b00011000 `define INSN_JRCC_imm 8'b001xx000 `define INSN_INCDEC16 8'b00xxx011 +`define INSN_VOP_INTR 8'b11111100 // 0xFC is grabbed by the fetch if there is an interrupt pending. +`define INSN_DI 8'b11110011 +`define INSN_EI 8'b11111011 `define INSN_cc_NZ 2'b00 `define INSN_cc_Z 2'b01 @@ -89,7 +92,8 @@ module GBZ80Core( input clk, output reg [15:0] busaddress = 0, /* BUS_* is latched on STATE_FETCH. */ inout [7:0] busdata, - output reg buswr = 0, output reg busrd = 0); + output reg buswr = 0, output reg busrd = 0, + input irq, input [7:0] jaddr); reg [1:0] state = 0; /* State within this bus cycle (see STATE_*). */ reg [2:0] cycle = 0; /* Cycle for instructions. */ @@ -108,7 +112,7 @@ module GBZ80Core( reg [7:0] buswdata; assign busdata = buswr ? buswdata : 8'bzzzzzzzz; - reg ie = 0; + reg ie = 0, iedelay = 0; initial begin registers[ 0] <= 0; @@ -129,6 +133,10 @@ module GBZ80Core( newcycle <= 1; state <= 0; cycle <= 0; + busrd <= 0; + buswr <= 0; + busaddress <= 0; + iedelay <= 0; end always @(posedge clk) @@ -149,7 +157,10 @@ module GBZ80Core( end `STATE_DECODE: begin if (newcycle) begin - opcode <= busdata; + if (ie && irq) + opcode <= `INSN_VOP_INTR; + else + opcode <= busdata; rdata <= busdata; newcycle <= 0; cycle <= 0; @@ -157,6 +168,10 @@ module GBZ80Core( if (rd) rdata <= busdata; cycle <= cycle + 1; end + if (iedelay) begin + ie <= 1; + iedelay <= 0; + end buswr <= 0; busrd <= 0; wr <= 0; @@ -552,6 +567,31 @@ module GBZ80Core( end endcase end + `INSN_VOP_INTR: begin + case (cycle) + 0: begin + address <= {registers[`REG_SPH],registers[`REG_SPL]} - 1; + wdata <= registers[`REG_PCH]; + wr <= 1; + end + 1: begin + address <= {registers[`REG_SPH],registers[`REG_SPL]} - 2; + wdata <= registers[`REG_PCL]; + wr <= 1; + end + 2: begin + `EXEC_NEWCYCLE; + end + endcase + end + `INSN_DI: begin + `EXEC_NEWCYCLE; + `EXEC_INC_PC; + end + `INSN_EI: begin + `EXEC_NEWCYCLE; + `EXEC_INC_PC; + end default: $stop; endcase @@ -896,6 +936,20 @@ module GBZ80Core( end endcase end + `INSN_VOP_INTR: begin + case (cycle) + 0: begin end + 1: {registers[`REG_SPH],registers[`REG_SPL]} + <= {registers[`REG_SPH],registers[`REG_SPL]} - 2; + 2: begin + ie <= 0; + {registers[`REG_PCH],registers[`REG_PCL]} <= + {8'b0,jaddr}; + end + endcase + end + `INSN_DI: ie <= 0; + `INSN_EI: iedelay <= 1; default: $stop; endcase diff --git a/System.v b/System.v index 8b8d613..7319ebf 100644 --- a/System.v +++ b/System.v @@ -76,13 +76,18 @@ module CoreTop( wire [15:0] addr; wire [7:0] data; wire wr, rd; + + wire irq, tmrirq; + wire [7:0] jaddr; GBZ80Core core( .clk(clk), .busaddress(addr), .busdata(data), .buswr(wr), - .busrd(rd)); + .busrd(rd), + .irq(irq), + .jaddr(jaddr)); ROM rom( .address(addr), @@ -125,8 +130,6 @@ module CoreTop( .wr(wr), .rd(rd)); - wire irq, tmrirq; - wire [7:0] jaddr; Timer tmr( .clk(clk), .wr(wr), @@ -156,6 +159,9 @@ module TestBench(); wire [7:0] data; wire wr, rd; + wire irq, tmrirq; + wire [7:0] jaddr; + // wire [7:0] leds; // wire [7:0] switches; @@ -165,7 +171,9 @@ module TestBench(); .busaddress(addr), .busdata(data), .buswr(wr), - .busrd(rd)); + .busrd(rd), + .irq(irq), + .jaddr(jaddr)); ROM rom( .clk(clk), @@ -190,8 +198,6 @@ module TestBench(); .rd(rd), .serial(serio)); - wire irq, tmrirq; - wire [7:0] jaddr; Timer tmr( .clk(clk), .wr(wr), -- 2.39.2