From: Joshua Wise Date: Thu, 3 Apr 2008 06:11:10 +0000 (-0400) Subject: Fuck shell scripts, we use makefiles here X-Git-Url: http://git.joshuawise.com/fpgaboy.git/commitdiff_plain/7028b02c470729436badea9acc3224c34621e25a?hp=37a96b54ea4f40ea0ae1bb0bf7a3a3ddba95913a Fuck shell scripts, we use makefiles here --- diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..bf5d698 --- /dev/null +++ b/Makefile @@ -0,0 +1,16 @@ +all: CoreTop.svf + +CoreTop_rom.bit: rom.hex CoreTop.bit foo_bd.bmm + data2mem -bm foo_bd.bmm -bd rom.mem -bt CoreTop.bit -o b CoreTop_rom.bit + +CoreTop.svf: CoreTop_rom.bit rom.hex impact.cmd + impact -batch impact.cmd + +rom.o: rom.asm + rgbasm -orom.o rom.asm + +rom.bin: rom.o rom.lnk + xlink rom.lnk + +rom.hex: rom.bin + ./mashrom < rom.bin > rom.hex diff --git a/buildrom.sh b/buildrom.sh deleted file mode 100755 index 3f8339e..0000000 --- a/buildrom.sh +++ /dev/null @@ -1,2 +0,0 @@ -#!/bin/bash -rgbasm -orom.o rom.asm && xlink rom.lnk && ./mashrom < rom.bin > rom.hex && echo 'ROM updated.' diff --git a/foo.bmm b/foo.bmm new file mode 100644 index 0000000..6177c20 --- /dev/null +++ b/foo.bmm @@ -0,0 +1,5 @@ +ADDRESS_SPACE rom RAMB16 [2047:0] + BUS_BLOCK + rom/Mrom_odata [7:0]; + END_BUS_BLOCK; +END_ADDRESS_SPACE; diff --git a/impact.cmd b/impact.cmd new file mode 100644 index 0000000..db5c851 --- /dev/null +++ b/impact.cmd @@ -0,0 +1,7 @@ +setMode -bs +setCable -port svf -file "/home/joshua/projects/fpga/FPGABoy/CoreTop.svf" +addDevice -p 1 -file "/home/joshua/projects/fpga/FPGABoy/CoreTop_rom.bit" +addDevice -p 2 -part xcf04s +Program -p 1 -defaultVersion 0 +quit +