X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/ff7fd7f2e78ed70833e58cecc316d5c8d6603349..c87db60a8f262ec834a46432e5d7b9a4faf09e09:/System.v?ds=sidebyside diff --git a/System.v b/System.v index 033e4b1..dec02b8 100644 --- a/System.v +++ b/System.v @@ -21,19 +21,22 @@ module InternalRAM( input clk, input wr, rd); - reg [7:0] ram [8191:0]; + // synthesis attribute ram_style of reg is block + reg [7:0] ram [2047:0]; - wire decode = ({0,address} >= 17'hC000) && ({0,address} < 17'hFE00); + wire decode = address[15:13] == 3'b110; reg [7:0] odata; wire idata = data; assign data = (rd && decode) ? odata : 8'bzzzzzzzz; always @(negedge clk) begin - if (decode && rd) - odata <= ram[address[12:0]]; - else if (decode && wr) - ram[address[12:0]] <= data; + if (decode) + begin + if (wr) + ram[address[10:0]] <= data; + odata <= ram[address[10:0]]; + end end endmodule