X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/f9000d73c8971e2e6323122efb06bcfd846b5d62..537e1f833b8eba858c06053ea6006ea608b9a5cc:/System.v diff --git a/System.v b/System.v index 5b0fb3c..712dd75 100644 --- a/System.v +++ b/System.v @@ -76,7 +76,7 @@ module CoreTop( wire [7:0] data; wire wr, rd; - wire irq, tmrirq; + wire irq, tmrirq, lcdcirq; wire [7:0] jaddr; wire [1:0] state; @@ -97,6 +97,14 @@ module CoreTop( .wr(wr), .rd(rd)); + LCDC lcdc( + .addr(addr), + .data(data), + .clk(clk), + .wr(wr), + .rd(rd), + .irq(lcdcirq)); + AddrMon amon( .addr(addr), .clk(clk), @@ -152,7 +160,7 @@ module CoreTop( .addr(addr), .data(data), .vblank(0), - .lcdc(0), + .lcdc(lcdcirq), .tovf(tmrirq), .serial(0), .buttons(0),