X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/f327eee1f228769c4753a3f2279063c99f6f1ab0..62316b58608c6d4333cc301b9906e13d143ba36e:/System.v diff --git a/System.v b/System.v index e0baebe..f89b2a6 100644 --- a/System.v +++ b/System.v @@ -137,6 +137,10 @@ module CellularRAM( progaddr <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]}; {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} + 23'b1; end + ADDR_PROGFLASH: if (rd || wr) begin + progaddr <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]}; + {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} + 23'b1; + end ADDR_MBC: begin mbc_emul <= data; rambank <= 0;