X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/c87db60a8f262ec834a46432e5d7b9a4faf09e09..616eebe0bdb3166e9cfda312d6425910b2addf8f:/System.v diff --git a/System.v b/System.v index dec02b8..996ec10 100644 --- a/System.v +++ b/System.v @@ -22,7 +22,7 @@ module InternalRAM( input wr, rd); // synthesis attribute ram_style of reg is block - reg [7:0] ram [2047:0]; + reg [7:0] ram [8191:0]; wire decode = address[15:13] == 3'b110; reg [7:0] odata; @@ -34,8 +34,8 @@ module InternalRAM( if (decode) begin if (wr) - ram[address[10:0]] <= data; - odata <= ram[address[10:0]]; + ram[address[12:0]] <= data; + odata <= ram[address[12:0]]; end end endmodule