X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/bc75fc673a7453cb5b9077d70695d3525d13366d..a51c6ed32f1bae3563341486a8ad545c5c801329:/System.v diff --git a/System.v b/System.v index 68de591..02b424c 100644 --- a/System.v +++ b/System.v @@ -114,7 +114,7 @@ module CellularRAM( reg [8:0] rombank = 1; assign cr_nOE = decode ? ~rdlatch : 1; - assign cr_nWE = (decode && ((addrlatch == ADDR_PROGDATA) || (mbc_emul[6:0] == 0))) ? ~wrlatch : 1; + assign cr_nWE = (decode && ((addrlatch == ADDR_PROGDATA) || (mbc_emul[6:0] == 0) || (addrlatch[15:13] == 3'b101))) ? ~wrlatch : 1; assign cr_DQ = (~cr_nOE) ? 16'bzzzzzzzzzzzzzzzz : {8'b0, datalatch}; assign cr_A = (addrlatch[15:14] == 2'b00) ? /* extrom, home bank */ {9'b0,addrlatch[13:0]} : @@ -369,6 +369,7 @@ module CoreTop( `ifdef isim `else PS2Button ps2( + .clk(clk), .inclk(ps2c), .indata(ps2d), .buttons(ps2buttons)