X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/a8f4468d0cd6910eba8031e21038d76857a2c107..e29171aa4e057f7c1a2682a0dfd1836beeb71651:/System.v diff --git a/System.v b/System.v index ebc9be4..95b715c 100644 --- a/System.v +++ b/System.v @@ -28,13 +28,16 @@ module BootstrapROM( input wr, rd); reg rdlatch = 0; + reg [7:0] addrlatch = 0; reg [7:0] brom [255:0]; initial $readmemh("bootstrap.hex", brom); wire decode = address[15:8] == 0; - wire [7:0] odata = brom[address[7:0]]; - always @(posedge clk) + wire [7:0] odata = brom[addrlatch]; + always @(posedge clk) begin rdlatch <= rd && decode; + addrlatch <= address[7:0]; + end assign data = rdlatch ? odata : 8'bzzzzzzzz; endmodule