X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/9c834ff2149ba2b9b4db71a1bb6b974235c88273..6bd4619bee74d76a525f7def9ac56ffcf114a3f4:/System.v diff --git a/System.v b/System.v index 5d4fbed..0e464f5 100644 --- a/System.v +++ b/System.v @@ -6,27 +6,48 @@ module ROM( input clk, input wr, rd); - reg [7:0] rom [2047:0]; + reg [7:0] rom [1023:0]; initial $readmemh("rom.hex", rom); wire decode = address[15:13] == 0; - wire [7:0] odata = rom[address[11:0]]; + wire [7:0] odata = rom[address[10:0]]; assign data = (rd && decode) ? odata : 8'bzzzzzzzz; //assign data = rd ? odata : 8'bzzzzzzzz; endmodule +module MiniRAM( /* XXX will need to go INSIDE the CPU for when we do DMA */ + input [15:0] address, + inout [7:0] data, + input clk, + input wr, rd); + + reg [7:0] ram [127:0]; + + wire decode = (address >= 16'hFF80) && (address <= 16'hFFFE); + reg [7:0] odata; + assign data = (rd && decode) ? odata : 8'bzzzzzzzz; + + always @(negedge clk) + begin + if (decode) // This has to go this way. The only way XST knows how to do + begin // block ram is chip select, write enable, and always + if (wr) // reading. "else if rd" does not cut it ... + ram[address[6:0]] <= data; + odata <= ram[address[6:0]]; + end + end + module InternalRAM( input [15:0] address, inout [7:0] data, input clk, input wr, rd); - // synthesis attribute ram_style of reg is block + // synthesis attribute ram_style of ram is block reg [7:0] ram [8191:0]; wire decode = address[15:13] == 3'b110; reg [7:0] odata; - wire idata = data; assign data = (rd && decode) ? odata : 8'bzzzzzzzz; always @(negedge clk) @@ -68,18 +89,24 @@ module CoreTop( output wire [7:0] leds, output serio, output wire [3:0] digits, - output wire [7:0] seven); + output wire [7:0] seven, + output wire hs, vs, + output wire [2:0] r, g, + output wire [1:0] b); + + wire xtalb, clk, vgaclk; + IBUFG iclkbuf(.O(xtalb), .I(xtal)); + CPUDCM dcm (.CLKIN_IN(xtalb), .CLKFX_OUT(clk)); + pixDCM pixdcm (.CLKIN_IN(xtalb), .CLKFX_OUT(vgaclk)); - wire clk; - CPUDCM dcm (.CLKIN_IN(xtal), .CLKFX_OUT(clk)); - wire [15:0] addr; wire [7:0] data; wire wr, rd; - wire irq, tmrirq; + wire irq, tmrirq, lcdcirq, vblankirq; wire [7:0] jaddr; - + wire [1:0] state; + GBZ80Core core( .clk(clk), .busaddress(addr), @@ -87,7 +114,8 @@ module CoreTop( .buswr(wr), .busrd(rd), .irq(irq), - .jaddr(jaddr)); + .jaddr(jaddr), + .state(state)); ROM rom( .address(addr), @@ -96,13 +124,50 @@ module CoreTop( .wr(wr), .rd(rd)); + wire lcdhs, lcdvs, lcdclk; + wire [2:0] lcdr, lcdg; + wire [1:0] lcdb; + + LCDC lcdc( + .addr(addr), + .data(data), + .clk(clk), + .wr(wr), + .rd(rd), + .lcdcirq(lcdcirq), + .vblankirq(vblankirq), + .lcdclk(lcdclk), + .lcdhs(lcdhs), + .lcdvs(lcdvs), + .lcdr(lcdr), + .lcdg(lcdg), + .lcdb(lcdb)); + + Framebuffer fb( + .lcdclk(lcdclk), + .lcdhs(lcdhs), + .lcdvs(lcdvs), + .lcdr(lcdr), + .lcdg(lcdg), + .lcdb(lcdb), + .vgaclk(vgaclk), + .vgahs(hs), + .vgavs(vs), + .vgar(r), + .vgag(g), + .vgab(b)); + AddrMon amon( - .addr(addr), - .clk(clk), - .digit(digits), - .out(seven), - .freeze(buttons[0]) - ); + .addr(addr), + .clk(clk), + .digit(digits), + .out(seven), + .freeze(buttons[0]), + .periods( + (state == 2'b00) ? 4'b0010 : + (state == 2'b01) ? 4'b0001 : + (state == 2'b10) ? 4'b1000 : + 4'b0100) ); Switches sw( .address(addr), @@ -115,20 +180,29 @@ module CoreTop( ); UART nouart ( /* no u */ - .clk(clk), - .wr(wr), - .rd(rd), - .addr(addr), - .data(data), - .serial(serio) - ); + .clk(clk), + .wr(wr), + .rd(rd), + .addr(addr), + .data(data), + .serial(serio) + ); - InternalRAM ram( + InternalRAM ram( .address(addr), .data(data), .clk(clk), .wr(wr), - .rd(rd)); + .rd(rd) + ); + + MiniRAM mram( + .address(addr), + .data(data), + .clk(clk), + .wr(wr), + .rd(rd) + ); Timer tmr( .clk(clk), @@ -136,7 +210,8 @@ module CoreTop( .rd(rd), .addr(addr), .data(data), - .irq(tmrirq)); + .irq(tmrirq) + ); Interrupt intr( .clk(clk), @@ -144,8 +219,8 @@ module CoreTop( .wr(wr), .addr(addr), .data(data), - .vblank(0), - .lcdc(0), + .vblank(vblankirq), + .lcdc(lcdcirq), .tovf(tmrirq), .serial(0), .buttons(0), @@ -165,7 +240,7 @@ module TestBench(); wire [7:0] leds; wire [7:0] switches; - always #10 clk <= ~clk; + always #62 clk <= ~clk; GBZ80Core core( .clk(clk), .busaddress(addr),