X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/99b9687942367fd256a797bcd1f67fec07f92a37..faa9ae6fee7e9c9f624cafdd0df7be4dc7ca973c:/System.v diff --git a/System.v b/System.v index 84b33b7..ec62236 100644 --- a/System.v +++ b/System.v @@ -243,6 +243,7 @@ module CoreTop( inout [15:0] cr_DQ, input ps2c, ps2d, output txp, txm, + input rxp, rxm, `endif output wire hs, vs, output wire [2:0] r, g, @@ -483,7 +484,10 @@ module CoreTop( .addr(addr[0]), .data(data[0]), .ethclk(ethclk), + .rxclk(xtalb), .txp(txp), - .txm(txm)); + .txm(txm), + .rxp(rxp), + .rxm(rxm)); `endif endmodule