X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/8e36c4ed64993143adcbf103a7d4e4bc1ec627a1..65d3506eebbdc5ae3e52c112b0ef74e447553586:/System.v diff --git a/System.v b/System.v index e0baebe..f89b2a6 100644 --- a/System.v +++ b/System.v @@ -137,6 +137,10 @@ module CellularRAM( progaddr <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]}; {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} + 23'b1; end + ADDR_PROGFLASH: if (rd || wr) begin + progaddr <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]}; + {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} + 23'b1; + end ADDR_MBC: begin mbc_emul <= data; rambank <= 0;