X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/6d070aeeba66499d17bd9abb9c5dfbb1317bf1c5..a6b499da5fd2a834963cc05178e6b8044dc9129f:/System.v diff --git a/System.v b/System.v index c2e892b..931e900 100644 --- a/System.v +++ b/System.v @@ -262,9 +262,10 @@ module CoreTop( wire [7:0] data [1:0]; wire wr [1:0], rd [1:0]; - wire irq, tmrirq, lcdcirq, vblankirq; + wire irq, tmrirq, lcdcirq, vblankirq, btnirq; wire [7:0] jaddr; wire [1:0] state; + wire ack; GBZ80Core core( .clk(clk), @@ -277,6 +278,7 @@ module CoreTop( .bus1wr(wr[1]), .bus1rd(rd[1]), .irq(irq), + .irqack(ack), .jaddr(jaddr), .state(state)); @@ -355,6 +357,16 @@ module CoreTop( .ledout(leds), .switches(switches) ); + + Buttons ass( + .core_clk(clk), + .addr(addr[0]), + .data(data[0]), + .wr(wr[0]), + .rd(rd[0]), + .int(btnirq), + .buttons(switches) + ); AddrMon amon( .clk(clk), @@ -413,8 +425,9 @@ module CoreTop( .lcdc(lcdcirq), .tovf(tmrirq), .serial(1'b0), - .buttons(1'b0), + .buttons(btnirq), .master(irq), + .ack(ack), .jaddr(jaddr)); Soundcore sound(