X-Git-Url: http://git.joshuawise.com/fpgaboy.git/blobdiff_plain/5bac4cf0a60acac6826eb3215e1526d48ba8f7ac..6c46357c6f1bfeefc3a9f85aed03f94e923d09f1:/System.v diff --git a/System.v b/System.v index 0afc090..4081771 100644 --- a/System.v +++ b/System.v @@ -71,6 +71,9 @@ module CoreTop( wire clk; CPUDCM dcm (.CLKIN_IN(xtal), .CLKFX_OUT(clk)); + + wire cclk; + IBUFG ibuf (.O(cclk), .I(switches[0])); wire [15:0] addr; wire [7:0] data; @@ -78,15 +81,17 @@ module CoreTop( wire irq, tmrirq; wire [7:0] jaddr; + wire [1:0] state; GBZ80Core core( - .clk(clk), + .clk(cclk), .busaddress(addr), .busdata(data), .buswr(wr), .busrd(rd), .irq(irq), - .jaddr(jaddr)); + .jaddr(jaddr), + .state(state)); ROM rom( .address(addr), @@ -100,7 +105,12 @@ module CoreTop( .clk(clk), .digit(digits), .out(seven), - .freeze(buttons[0])); + .freeze(buttons[0]), + .periods( + (state == 2'b00) ? 4'b1000 : + (state == 2'b01) ? 4'b0100 : + (state == 2'b10) ? 4'b0010 : + 4'b0001) ); Switches sw( .address(addr), @@ -109,7 +119,7 @@ module CoreTop( .wr(wr), .rd(rd), .ledout(leds), - .switches(switches) + .switches({switches[7:1],1'b0}) ); UART nouart ( /* no u */