input clk,
input wr, rd);
+ // synthesis attribute ram_style of reg is block
reg [7:0] ram [8191:0];
- wire decode = ({0,address} >= 17'hC000) && ({0,address} < 17'hFE00);
+ wire decode = address[15:13] == 3'b110;
reg [7:0] odata;
wire idata = data;
assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
always @(negedge clk)
begin
- if (decode && rd)
+ if (decode) // This has to go this way. The only way XST knows how to do
+ begin // block ram is chip select, write enable, and always
+ if (wr) // reading. "else if rd" does not cut it ...
+ ram[address[12:0]] <= data;
odata <= ram[address[12:0]];
- else if (decode && wr)
- ram[address[12:0]] <= data;
+ end
end
endmodule
input clk,
input wr, rd,
input [7:0] switches,
- output reg [7:0] ledout);
+ output reg [7:0] ledout = 0);
wire decode = address == 16'hFF51;
reg [7:0] odata;
output wire [3:0] digits,
output wire [7:0] seven);
- wire clk;
- //IBUFG ibuf (.O(clk), .I(iclk));
-
+ wire clk;
CPUDCM dcm (.CLKIN_IN(xtal), .CLKFX_OUT(clk));
wire [15:0] addr;
wire [7:0] data;
wire wr, rd;
+
+ wire irq, tmrirq;
+ wire [7:0] jaddr;
GBZ80Core core(
.clk(clk),
.busaddress(addr),
.busdata(data),
.buswr(wr),
- .busrd(rd));
+ .busrd(rd),
+ .irq(irq),
+ .jaddr(jaddr));
ROM rom(
.address(addr),
.switches(switches)
);
- UART nouart (
+ UART nouart ( /* no u */
.clk(clk),
.wr(wr),
.rd(rd),
.clk(clk),
.wr(wr),
.rd(rd));
+
+ Timer tmr(
+ .clk(clk),
+ .wr(wr),
+ .rd(rd),
+ .addr(addr),
+ .data(data),
+ .irq(tmrirq));
+
+ Interrupt intr(
+ .clk(clk),
+ .rd(rd),
+ .wr(wr),
+ .addr(addr),
+ .data(data),
+ .vblank(0),
+ .lcdc(0),
+ .tovf(tmrirq),
+ .serial(0),
+ .buttons(0),
+ .master(irq),
+ .jaddr(jaddr));
endmodule
module TestBench();
- reg clk = 0;
+ reg clk = 1;
wire [15:0] addr;
wire [7:0] data;
wire wr, rd;
-// wire [7:0] leds;
-// wire [7:0] switches;
+ wire irq, tmrirq;
+ wire [7:0] jaddr;
+
+ wire [7:0] leds;
+ wire [7:0] switches;
always #10 clk <= ~clk;
GBZ80Core core(
.busaddress(addr),
.busdata(data),
.buswr(wr),
- .busrd(rd));
+ .busrd(rd),
+ .irq(irq),
+ .jaddr(jaddr));
ROM rom(
.clk(clk),
.rd(rd),
.serial(serio));
-// Switches sw(
-// .clk(clk),
-// .address(addr),
-// .data(data),
-// .wr(wr),
-// .rd(rd),
-// .switches(switches),
-// .leds(leds));
+ Timer tmr(
+ .clk(clk),
+ .wr(wr),
+ .rd(rd),
+ .addr(addr),
+ .data(data),
+ .irq(tmrirq));
+
+ Interrupt intr(
+ .clk(clk),
+ .rd(rd),
+ .wr(wr),
+ .addr(addr),
+ .data(data),
+ .vblank(0),
+ .lcdc(0),
+ .tovf(tmrirq),
+ .serial(0),
+ .buttons(0),
+ .master(irq),
+ .jaddr(jaddr));
+
+ Switches sw(
+ .clk(clk),
+ .address(addr),
+ .data(data),
+ .wr(wr),
+ .rd(rd),
+ .switches(switches),
+ .ledout(leds));
endmodule