]> Joshua Wise's Git repositories - fpgaboy.git/blobdiff - System.v
Add an interrupt ack, so that interrupts are cleared automatically. This fixes APOCAL...
[fpgaboy.git] / System.v
index c2e892bd1a4029a85cf603b84a6b4fbcee8df331..62f976be7dc3d4f8062de7ad4c07d88131d435a4 100644 (file)
--- a/System.v
+++ b/System.v
@@ -265,6 +265,7 @@ module CoreTop(
        wire irq, tmrirq, lcdcirq, vblankirq;
        wire [7:0] jaddr;
        wire [1:0] state;
        wire irq, tmrirq, lcdcirq, vblankirq;
        wire [7:0] jaddr;
        wire [1:0] state;
+       wire ack;
        
        GBZ80Core core(
                .clk(clk),
        
        GBZ80Core core(
                .clk(clk),
@@ -277,6 +278,7 @@ module CoreTop(
                .bus1wr(wr[1]),
                .bus1rd(rd[1]),
                .irq(irq),
                .bus1wr(wr[1]),
                .bus1rd(rd[1]),
                .irq(irq),
+               .irqack(ack),
                .jaddr(jaddr),
                .state(state));
        
                .jaddr(jaddr),
                .state(state));
        
@@ -415,6 +417,7 @@ module CoreTop(
                .serial(1'b0),
                .buttons(1'b0),
                .master(irq),
                .serial(1'b0),
                .buttons(1'b0),
                .master(irq),
+               .ack(ack),
                .jaddr(jaddr));
        
        Soundcore sound(
                .jaddr(jaddr));
        
        Soundcore sound(
This page took 0.022446 seconds and 4 git commands to generate.