]> Joshua Wise's Git repositories - fpgaboy.git/blobdiff - Makefile
Merge andrew:/afs/andrew/usr/czl/public/FPGABoy
[fpgaboy.git] / Makefile
index d89b58697d6c3578e8a509baf3f4d9cd9c2b4d70..f42e5b99520a30ccd94163f5a48b78a9e71c77fb 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1,12 +1,24 @@
-VLOGS=Uart.v Timer.v Interrupt.v GBZ80Core.v CPUDCM.v 7seg.v System.v
+VLOGS = 7seg.v Framebuffer.v GBZ80Core.v Interrupt.v LCDC.v Sound1.v \
+       Sound2.v Soundcore.v System.v Timer.v Uart.v  Buttons.v
 
-all: CoreTop.svf CoreTop.twr
+VLOGS_ALL = $(VLOGS) insn_call-callcc.v insn_incdec16.v insn_jr-jrcc.v \
+       insn_ld_reg_hl.v insn_ld_reg_reg.v insn_nop.v insn_ret-retcc.v \
+       allinsns.v insn_alu8.v insn_di-ei.v insn_jp_hl.v insn_ldh_ac.v \
+       insn_ld_reg_imm16.v insn_ld_sp_hl.v insn_pop_reg.v insn_rst.v \
+       CPUDCM.v insn_alu_a.v insn_halt.v insn_jp-jpcc.v insn_ld_hl_reg.v \
+       insn_ld_reg_imm8.v insn_ldx_ahl.v insn_push_reg.v insn_vop_intr.v \
+       insn_ldm8_a.v insn_ldm16_a.v insn_ldbcde_a.v insn_alu_ext.v \
+       insn_bit.v insn_two_byte.v insn_incdec_reg8.v insn_add_hl.v
 
-CoreTyp.ngc: CoreTop.xst CoreTop.prj $(VLOGS) CoreTop.ucf
+all: CoreTop.svf
+
+sim: CoreTop_isim.exe
+
+CoreTop.ngc: CoreTop.xst CoreTop.prj $(VLOGS_ALL) fpgaboot.hex gbboot.hex
        xst -ifn CoreTop.xst -ofn CoreTop.syr
 
-CoreTop.ngd: CoreTop.ngc foo.bmm
-       ngdbuild -dd _ngo  -nt timestamp -i -bm "foo.bmm" -p xc3s500e-fg320-5 "CoreTop.ngc" CoreTop.ngd
+CoreTop.ngd: CoreTop.ngc foo.bmm CoreTop.ucf
+       ngdbuild -dd _ngo -uc CoreTop.ucf -nt timestamp -p xc3s500e-fg320-5 "CoreTop.ngc" CoreTop.ngd
 
 CoreTop_map.ncd: CoreTop.ngd
        map -p xc3s500e-fg320-5 -cm area -pr off -k 4 -c 100 -o CoreTop_map.ncd CoreTop.ngd CoreTop.pcf
@@ -20,17 +32,44 @@ CoreTop.twr: CoreTop_map.ncd
 CoreTop.bit: CoreTop.ut CoreTop.ncd
        bitgen -f CoreTop.ut CoreTop.ncd
 
-CoreTop_rom.bit: rom.hex CoreTop.bit foo_bd.bmm
-       data2mem -bm foo_bd.bmm -bd rom.mem -bt CoreTop.bit -o b CoreTop_rom.bit
+netgen/par/CoreTop_timesim.v: CoreTop.twr CoreTop.ncd
+       netgen -ise FPGABoy.ise -s 5  -pcf CoreTop.pcf -sdf_anno true -sdf_path "netgen/par" -insert_glbl true -insert_pp_buffers false -w -dir netgen/par -ofmt verilog -sim CoreTop.ncd CoreTop_timesim.v
+
+netgen/par/.CoreTop_timesim.v_work: netgen/par/CoreTop_timesim.v
+       vlogcomp netgen/par/CoreTop_timesim.v
+       vlogcomp /home/joshua/projects/fpga/ise/Xilinx101/verilog/src/glbl.v
+       
+CoreTop_isim_par.exe: netgen/par/.CoreTop_timesim.v_work
+       fuse -lib simprims_ver -lib unisims_ver -lib unimacro_ver -lib xilinxcorelib_ver -o CoreTop_isim_par.exe netgen/par/CoreTop_timesim.v -top CoreTop -top glbl
+
+CoreTop_isim.exe: $(VLOGS_ALL)
+       vlogcomp -d isim $(VLOGS) 
+       fuse -t CoreTop -o CoreTop_isim.exe
+
+parsim: CoreTop_isim_par.exe
+
+%.o: %.asm
+       rgbasm -o$@ $<
+
+%.bin: %.o
+       echo "[Objects]" > tmp.lnk
+       echo $< >> tmp.lnk
+       echo "" >> tmp.lnk
+       echo "[Output]" >> tmp.lnk
+       echo $@ >> tmp.lnk
+       xlink tmp.lnk
+       rm tmp.lnk
+
+%.mem: %.bin mashrom
+       ./mashrom < $< > $@
 
-CoreTop.svf: CoreTop_rom.bit rom.hex impact.cmd
-       impact -batch impact.cmd
+fpgaboot.hex: fpgaboot.bin mashrom
+       ./mashrom 256 < $< > $@
 
-rom.o: rom.asm
-       rgbasm -orom.o rom.asm
 
-rom.bin: rom.o rom.lnk
-       xlink rom.lnk
+CoreTop.svf: CoreTop.bit impact.cmd
+       sed -e s/XXX/$(subst .bit,,$<)/ < impact.cmd > tmp.cmd
+       impact -batch tmp.cmd
 
-rom.hex: rom.bin
-       ./mashrom < rom.bin > rom.hex
+parsim: CoreTop
+       
\ No newline at end of file
This page took 0.024705 seconds and 4 git commands to generate.