]> Joshua Wise's Git repositories - fpgaboy.git/blobdiff - System.v
Some reworks to prepare for transition to makefile. Stack bugfixes.
[fpgaboy.git] / System.v
index 8bc14e9df01a67155742346789f38a6f3bacb76c..5d4fbedf2acadf14bd48a28dfb153570ed63a1cf 100644 (file)
--- a/System.v
+++ b/System.v
@@ -46,7 +46,7 @@ module Switches(
        input clk,
        input wr, rd,
        input [7:0] switches,
-       output reg [7:0] ledout);
+       output reg [7:0] ledout = 0);
        
        wire decode = address == 16'hFF51;
        reg [7:0] odata;
@@ -70,21 +70,24 @@ module CoreTop(
        output wire [3:0] digits,
        output wire [7:0] seven);
        
-       wire clk;
-       //IBUFG ibuf (.O(clk), .I(iclk));
-       
+       wire clk;       
        CPUDCM dcm (.CLKIN_IN(xtal), .CLKFX_OUT(clk));
 
        wire [15:0] addr;       
        wire [7:0] data;
        wire wr, rd;
+       
+       wire irq, tmrirq;
+       wire [7:0] jaddr;
 
        GBZ80Core core(
                .clk(clk),
                .busaddress(addr),
                .busdata(data),
                .buswr(wr),
-               .busrd(rd));
+               .busrd(rd),
+               .irq(irq),
+               .jaddr(jaddr));
        
        ROM rom(
                .address(addr),
@@ -111,7 +114,7 @@ module CoreTop(
                .switches(switches)
                );
 
-       UART nouart (
+       UART nouart (   /* no u */
     .clk(clk), 
     .wr(wr), 
     .rd(rd), 
@@ -126,16 +129,41 @@ module CoreTop(
                .clk(clk),
                .wr(wr),
                .rd(rd));
+
+       Timer tmr(
+               .clk(clk),
+               .wr(wr),
+               .rd(rd),
+               .addr(addr),
+               .data(data),
+               .irq(tmrirq));
+       
+       Interrupt intr(
+               .clk(clk),
+               .rd(rd),
+               .wr(wr),
+               .addr(addr),
+               .data(data),
+               .vblank(0),
+               .lcdc(0),
+               .tovf(tmrirq),
+               .serial(0),
+               .buttons(0),
+               .master(irq),
+               .jaddr(jaddr));
 endmodule
 
 module TestBench();
-       reg clk = 0;
+       reg clk = 1;
        wire [15:0] addr;
        wire [7:0] data;
        wire wr, rd;
        
-//     wire [7:0] leds;
-//     wire [7:0] switches;
+       wire irq, tmrirq;
+       wire [7:0] jaddr;
+       
+       wire [7:0] leds;
+       wire [7:0] switches;
        
        always #10 clk <= ~clk;
        GBZ80Core core(
@@ -143,7 +171,9 @@ module TestBench();
                .busaddress(addr),
                .busdata(data),
                .buswr(wr),
-               .busrd(rd));
+               .busrd(rd),
+               .irq(irq),
+               .jaddr(jaddr));
        
        ROM rom(
                .clk(clk),
@@ -168,12 +198,34 @@ module TestBench();
                .rd(rd),
                .serial(serio));
        
-//     Switches sw(
-//             .clk(clk),
-//             .address(addr),
-//             .data(data),
-//             .wr(wr),
-//             .rd(rd),
-//             .switches(switches),
-//             .leds(leds));
+       Timer tmr(
+               .clk(clk),
+               .wr(wr),
+               .rd(rd),
+               .addr(addr),
+               .data(data),
+               .irq(tmrirq));
+       
+       Interrupt intr(
+               .clk(clk),
+               .rd(rd),
+               .wr(wr),
+               .addr(addr),
+               .data(data),
+               .vblank(0),
+               .lcdc(0),
+               .tovf(tmrirq),
+               .serial(0),
+               .buttons(0),
+               .master(irq),
+               .jaddr(jaddr));
+       
+       Switches sw(
+               .clk(clk),
+               .address(addr),
+               .data(data),
+               .wr(wr),
+               .rd(rd),
+               .switches(switches),
+               .ledout(leds));
 endmodule
This page took 0.025729 seconds and 4 git commands to generate.