]> Joshua Wise's Git repositories - fpgaboy.git/blobdiff - System.v
and verified
[fpgaboy.git] / System.v
index 8f54685cfd33045ea6ad52b80f155b379a17099e..f89b2a6538ade01a2052737eb15d59adfa3a1d5a 100644 (file)
--- a/System.v
+++ b/System.v
@@ -1,18 +1,23 @@
 
 `timescale 1ns / 1ps
-module ROM(
+module SimROM(
        input [15:0] address,
        inout [7:0] data,
        input clk,
        input wr, rd);
 
-       // synthesis attribute ram_style of rom is block
-       reg [7:0] rom [1023:0];
+       reg rdlatch = 0;
+       reg [7:0] odata;
+
+       reg [7:0] rom [32767:0];
        initial $readmemh("rom.hex", rom);
 
        wire decode = address[15:13] == 0;
-       wire [7:0] odata = rom[address[10:0]];
-       assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
+       always @(posedge clk) begin
+               rdlatch <= rd && decode;
+               odata <= rom[address[10:0]];
+       end
+       assign data = rdlatch ? odata : 8'bzzzzzzzz;
 endmodule
 
 module BootstrapROM(
@@ -21,12 +26,28 @@ module BootstrapROM(
        input clk,
        input wr, rd);
 
-       reg [7:0] rom [255:0];
-       initial $readmemh("bootstrap.hex", rom);
+       reg rdlatch = 0;
+       reg [7:0] addrlatch = 0;
+       reg romno = 0, romnotmp = 0;
+       reg [7:0] brom0 [255:0];
+       reg [7:0] brom1 [255:0];
+       
+       initial $readmemh("fpgaboot.hex", brom0);
+       initial $readmemh("gbboot.hex", brom1);
+       
+`ifdef isim
+       initial romno <= 1;
+`endif
 
        wire decode = address[15:8] == 0;
-       wire [7:0] odata = rom[address[7:0]];
-       assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
+       wire [7:0] odata = (romno == 0) ? brom0[addrlatch] : brom1[addrlatch];
+       always @(posedge clk) begin
+               rdlatch <= rd && decode;
+               addrlatch <= address[7:0];
+               if (wr && decode) romnotmp <= data[0];
+               if (rd && address == 16'h0000) romno <= romnotmp;       /* Latch when the program restarts. */
+       end
+       assign data = rdlatch ? odata : 8'bzzzzzzzz;
 endmodule
 
 module MiniRAM(
@@ -38,13 +59,15 @@ module MiniRAM(
        reg [7:0] ram [127:0];
        
        wire decode = (address >= 16'hFF80) && (address <= 16'hFFFE);
+       reg rdlatch = 0;
        reg [7:0] odata;
-       assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
+       assign data = rdlatch ? odata : 8'bzzzzzzzz;
        
        always @(posedge clk)
        begin
-               if (decode)     // This has to go this way. The only way XST knows how to do
-               begin                           // block ram is chip select, write enable, and always
+               rdlatch <= rd && decode;
+               if (decode)             // This has to go this way. The only way XST knows how to do
+               begin                   // block ram is chip select, write enable, and always
                        if (wr)         // reading. "else if rd" does not cut it ...
                                ram[address[6:0]] <= data;
                        odata <= ram[address[6:0]];
@@ -52,6 +75,102 @@ module MiniRAM(
        end
 endmodule
 
+module CellularRAM(
+       input clk,
+       input [15:0] address,
+       inout [7:0] data,
+       input wr, rd,
+       output wire cr_nADV, cr_nCE, cr_nOE, cr_nWE, cr_CRE, cr_nLB, cr_nUB, cr_CLK,
+       output wire st_nCE, st_nRST,
+       output wire [22:0] cr_A,
+       inout [15:0] cr_DQ);
+       
+       parameter ADDR_PROGADDRH = 16'hFF60;
+       parameter ADDR_PROGADDRM = 16'hFF61;
+       parameter ADDR_PROGADDRL = 16'hFF62;
+       parameter ADDR_PROGDATA = 16'hFF63;
+       parameter ADDR_PROGFLASH = 16'hFF65;
+       parameter ADDR_MBC = 16'hFF64;
+       
+       reg rdlatch = 0, wrlatch = 0;
+       reg [15:0] addrlatch = 0;
+       reg [7:0] datalatch = 0;
+       
+       reg [7:0] progaddrh, progaddrm, progaddrl;
+       
+       reg [22:0] progaddr;
+       
+       reg [7:0] mbc_emul = 8'b00000101;       // High bit is whether we're poking flash
+                                               // low 7 bits are the MBC that we are emulating
+       
+       assign cr_nADV = 0;     /* Addresses are always valid! :D */
+       assign cr_nCE = ~(addrlatch != ADDR_PROGFLASH); /* The chip is enabled */
+       assign cr_nLB = 0;      /* Lower byte is enabled */
+       assign cr_nUB = 0;      /* Upper byte is enabled */
+       assign cr_CRE = 0;      /* Data writes, not config */
+       assign cr_CLK = 0;      /* Clock? I think not! */
+       
+       assign st_nRST = 1;     /* Keep the strataflash out of reset. */
+       assign st_nCE = ~(addrlatch == ADDR_PROGFLASH);
+       
+       wire decode = (addrlatch[15:14] == 2'b00) /* extrom */ || (addrlatch[15:13] == 3'b101) /* extram */ || (addrlatch == ADDR_PROGDATA) || (addrlatch == ADDR_PROGFLASH);
+       
+       reg [3:0] rambank = 0;
+       reg [8:0] rombank = 1;
+       
+       assign cr_nOE = decode ? ~rdlatch : 1;
+       assign cr_nWE = (decode && ((addrlatch == ADDR_PROGDATA) || (addrlatch == ADDR_PROGFLASH) || (mbc_emul[6:0] == 0) || (addrlatch[15:13] == 3'b101))) ? ~wrlatch : 1;
+       
+       assign cr_DQ = (~cr_nOE) ? 16'bzzzzzzzzzzzzzzzz : {8'b0, datalatch};
+       assign cr_A = (addrlatch[15:14] == 2'b00) ? /* extrom, home bank */ {9'b0,addrlatch[13:0]} :
+                       (addrlatch[15:14] == 2'b01) ? /* extrom, paged bank */ {rombank, addrlatch[13:0]} :
+                       (addrlatch[15:13] == 3'b101) ? /* extram */ {1'b1, 5'b0, rambank, addrlatch[12:0]} :
+                       ((addrlatch == ADDR_PROGDATA) || (addrlatch == ADDR_PROGFLASH)) ? progaddr :
+                       23'b0;
+       
+       always @(posedge clk) begin
+               case (address)
+               ADDR_PROGADDRH: if (wr) progaddrh <= data;
+               ADDR_PROGADDRM: if (wr) progaddrm <= data;
+               ADDR_PROGADDRL: if (wr) progaddrl <= data;
+               ADDR_PROGDATA:  if (rd || wr) begin
+                                       progaddr <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]};
+                                       {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} + 23'b1;
+                               end
+               ADDR_PROGFLASH: if (rd || wr) begin
+                                       progaddr <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]};
+                                       {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} <= {progaddrh[6:0], progaddrm[7:0], progaddrl[7:0]} + 23'b1;
+                               end
+               ADDR_MBC:       begin
+                                       mbc_emul <= data;
+                                       rambank <= 0;
+                                       rombank <= 1;
+                               end
+               endcase
+               
+               if (mbc_emul[6:0] == 5) begin
+                       if ((address[15:12] == 4'h2) && wr)
+                               rombank <= {rombank[8], data};
+                       else if ((address[15:12] == 4'h3) && wr)
+                               rombank <= {data[0], rombank[7:0]};
+                       else if ((address[15:12] == 4'h4) && wr)
+                               rambank <= data[3:0];
+               end
+               
+               rdlatch <= rd;
+               wrlatch <= wr;
+               addrlatch <= address;
+               datalatch <= data;
+       end
+       
+       assign data = (rdlatch && decode) ?
+                               (addrlatch == ADDR_PROGADDRH) ? progaddrh :
+                               (addrlatch == ADDR_PROGADDRM) ? progaddrm :
+                               (addrlatch == ADDR_PROGADDRL) ? progaddrl :
+                               cr_DQ
+                       : 8'bzzzzzzzz;
+endmodule
+
 module InternalRAM(
        input [15:0] address,
        inout [7:0] data,
@@ -61,14 +180,16 @@ module InternalRAM(
        // synthesis attribute ram_style of ram is block
        reg [7:0] ram [8191:0];
        
-       wire decode = address[15:13] == 3'b110;
+       wire decode = (address >= 16'hC000) && (address <= 16'hFDFF);   /* This includes echo RAM. */
        reg [7:0] odata;
-       assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
+       reg rdlatch = 0;
+       assign data = rdlatch ? odata : 8'bzzzzzzzz;
        
        always @(posedge clk)
        begin
-               if (decode)     // This has to go this way. The only way XST knows how to do
-               begin                           // block ram is chip select, write enable, and always
+               rdlatch <= rd && decode;
+               if (decode)             // This has to go this way. The only way XST knows how to do
+               begin                   // block ram is chip select, write enable, and always
                        if (wr)         // reading. "else if rd" does not cut it ...
                                ram[address[12:0]] <= data;
                        odata <= ram[address[12:0]];
@@ -86,10 +207,12 @@ module Switches(
        
        wire decode = address == 16'hFF51;
        reg [7:0] odata;
-       assign data = (rd && decode) ? odata : 8'bzzzzzzzz;
+       reg rdlatch = 0;
+       assign data = rdlatch ? odata : 8'bzzzzzzzz;
        
        always @(posedge clk)
        begin
+               rdlatch <= rd && decode;
                if (decode && rd)
                        odata <= switches;
                else if (decode && wr)
@@ -112,8 +235,13 @@ module CoreTop(
        input [3:0] buttons,
        output wire [7:0] leds,
        output serio,
+       input serin,
        output wire [3:0] digits,
        output wire [7:0] seven,
+       output wire cr_nADV, cr_nCE, cr_nOE, cr_nWE, cr_CRE, cr_nLB, cr_nUB, cr_CLK, st_nCE, st_nRST,
+       output wire [22:0] cr_A,
+       inout [15:0] cr_DQ,
+       input ps2c, ps2d,
 `endif
        output wire hs, vs,
        output wire [2:0] r, g,
@@ -128,6 +256,7 @@ module CoreTop(
        
        wire [7:0] leds;
        wire serio;
+       wire serin = 1;
        wire [3:0] digits;
        wire [7:0] seven;
        wire [7:0] switches = 8'b0;
@@ -137,15 +266,17 @@ module CoreTop(
        IBUFG iclkbuf(.O(xtalb), .I(xtal));
        CPUDCM dcm (.CLKIN_IN(xtalb), .CLKFX_OUT(clk));
        pixDCM pixdcm (.CLKIN_IN(xtalb), .CLKFX_OUT(vgaclk));
+       wire [7:0] ps2buttons;
 `endif
 
        wire [15:0] addr [1:0];
        wire [7:0] data [1:0];
        wire wr [1:0], rd [1:0];
        
-       wire irq, tmrirq, lcdcirq, vblankirq;
+       wire irq, tmrirq, lcdcirq, vblankirq, btnirq;
        wire [7:0] jaddr;
        wire [1:0] state;
+       wire ack;
        
        GBZ80Core core(
                .clk(clk),
@@ -158,6 +289,7 @@ module CoreTop(
                .bus1wr(wr[1]),
                .bus1rd(rd[1]),
                .irq(irq),
+               .irqack(ack),
                .jaddr(jaddr),
                .state(state));
        
@@ -168,12 +300,33 @@ module CoreTop(
                .wr(wr[1]),
                .rd(rd[1]));
        
-       ROM rom(
+`ifdef isim
+       SimROM rom(
                .address(addr[0]),
                .data(data[0]),
                .clk(clk),
                .wr(wr[0]),
                .rd(rd[0]));
+`else
+       CellularRAM cellram(
+               .address(addr[0]),
+               .data(data[0]),
+               .clk(clk),
+               .wr(wr[0]),
+               .rd(rd[0]),
+               .cr_nADV(cr_nADV),
+               .cr_nCE(cr_nCE),
+               .cr_nOE(cr_nOE),
+               .cr_nWE(cr_nWE),
+               .cr_CRE(cr_CRE),
+               .cr_nLB(cr_nLB),
+               .cr_nUB(cr_nUB),
+               .cr_CLK(cr_CLK),
+               .cr_A(cr_A),
+               .cr_DQ(cr_DQ),
+               .st_nCE(st_nCE),
+               .st_nRST(st_nRST));
+`endif
        
        wire lcdhs, lcdvs, lcdclk;
        wire [2:0] lcdr, lcdg;
@@ -207,7 +360,47 @@ module CoreTop(
                .vgar(r),
                .vgag(g),
                .vgab(b));
+
+       wire [7:0] sleds;
+`ifdef isim
+       assign leds = sleds;
+`else
+       assign leds = sleds | ps2buttons;
+`endif
+       Switches sw(
+               .clk(clk),
+               .address(addr[0]),
+               .data(data[0]),
+               .wr(wr[0]),
+               .rd(rd[0]),
+               .ledout(sleds),
+               .switches(switches)
+               );
        
+`ifdef isim
+`else
+       PS2Button ps2(
+               .clk(clk),
+               .inclk(ps2c),
+               .indata(ps2d),
+               .buttons(ps2buttons)
+               );
+`endif
+       
+       Buttons ass(
+               .core_clk(clk),
+               .addr(addr[0]),
+               .data(data[0]),
+               .wr(wr[0]),
+               .rd(rd[0]),
+               .int(btnirq),
+       `ifdef isim
+               .buttons(switches)
+       `else
+               .buttons(ps2buttons)
+       `endif
+               );
+
        AddrMon amon(
                .clk(clk), 
                .addr(addr[0]),
@@ -220,23 +413,14 @@ module CoreTop(
                        (state == 2'b10) ? 4'b1000 :
                                           4'b0100) );
         
-       Switches sw(
-               .clk(clk),
-               .address(addr[0]),
-               .data(data[0]),
-               .wr(wr[0]),
-               .rd(rd[0]),
-               .ledout(leds),
-               .switches(switches)
-               );
-
        UART nouart (   /* no u */
                .clk(clk),
                .addr(addr[0]),
                .data(data[0]),
                .wr(wr[0]),
                .rd(rd[0]),
-               .serial(serio)
+               .serial(serio),
+               .serialrx(serin)
                );
 
        InternalRAM ram(
@@ -274,8 +458,9 @@ module CoreTop(
                .lcdc(lcdcirq),
                .tovf(tmrirq),
                .serial(1'b0),
-               .buttons(1'b0),
+               .buttons(btnirq),
                .master(irq),
+               .ack(ack),
                .jaddr(jaddr));
        
        Soundcore sound(
This page took 0.033508 seconds and 4 git commands to generate.