From fccd83f9981656ec548e7c5534dc2b847f875dda Mon Sep 17 00:00:00 2001 From: Joshua Wise Date: Sat, 28 Aug 2010 18:29:06 -0400 Subject: [PATCH 1/1] Add chip enable correctness for CellularRAM. --- CellularRAM.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/CellularRAM.v b/CellularRAM.v index 81622cd..f7217de 100644 --- a/CellularRAM.v +++ b/CellularRAM.v @@ -40,7 +40,7 @@ module CellularRAM( assign st_nCE = 0; assign cr_nADV = ~decode; - assign cr_nCE = 0; + assign cr_nCE = ~active; assign cr_nOE = ~bus_rd; assign cr_nWE = ~bus_wr; assign cr_CRE = 0; -- 2.39.2