From ff39dfc748fd0b034694dba9d07dfdef237eda8f Mon Sep 17 00:00:00 2001 From: Joshua Wise Date: Sat, 27 Dec 2008 04:40:15 -0500 Subject: [PATCH 1/1] Nicer dump information. --- system.v | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/system.v b/system.v index afd7a17..de462cd 100644 --- a/system.v +++ b/system.v @@ -85,10 +85,12 @@ module System(input clk, output wire bubbleshield, output wire [31:0] insn, outp .outstall(stall_cause_issue), .outbubble(bubble_out_issue), .outpc(pc_out_issue), .outinsn(insn_out_issue)); + reg [31:0] clockno = 0; always @(posedge clk) begin - $display("Clock-time dump:"); - $display("Fetch stage: Bubble output: %d, Instruction: %08x, PC: %08x", bubble_out_fetch, insn_out_fetch, pc_out_fetch); - $display("Issue stage: Stall output: %d, Bubble output: %d, Instruction: %08x, PC: %08x", stall_cause_issue, bubble_out_issue, insn_out_issue, pc_out_issue); + clockno <= clockno + 1; + $display("------------------------------------------------------------------------------"); + $display("%3d: FETCH: Bubble: %d, Instruction: %08x, PC: %08x", clockno, bubble_out_fetch, insn_out_fetch, pc_out_fetch); + $display("%3d: ISSUE: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x", clockno, stall_cause_issue, bubble_out_issue, insn_out_issue, pc_out_issue); end endmodule -- 2.39.2