From 838e283e2d47ad2d3abbd4d2f6ae6ffb695b9073 Mon Sep 17 00:00:00 2001 From: Joshua Wise Date: Fri, 9 Jan 2009 01:20:11 -0500 Subject: [PATCH] Memory: Add some debugging. --- Memory.v | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Memory.v b/Memory.v index ff9f397..696b1a4 100644 --- a/Memory.v +++ b/Memory.v @@ -337,8 +337,9 @@ module Memory( next_outbubble = 1; end if (!cp_ack) begin - $display("WARNING: Possible MRCMCR undefined instruction"); + $display("WARNING: Possible MRCMCR undefined instruction: cp_ack %d, cp_busy %d",cp_ack, cp_busy); end + $display("MRCMCR: ack %d, busy %d", cp_ack, cp_busy); end default: begin end endcase -- 2.39.2