From 486dfcdd5b0e7b92123b4a08e3f38cec8057c0ba Mon Sep 17 00:00:00 2001 From: Joshua Wise Date: Wed, 18 Mar 2009 11:48:07 -0400 Subject: [PATCH] Add testbench padded hex. --- tests/testbench.pad.hex | 4096 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 4096 insertions(+) create mode 100644 tests/testbench.pad.hex diff --git a/tests/testbench.pad.hex b/tests/testbench.pad.hex new file mode 100644 index 0000000..eecc6d5 --- /dev/null +++ b/tests/testbench.pad.hex @@ -0,0 +1,4096 @@ +e59fd00c +eb000499 +eafffffe +ee000510 +e1a0f00e +00003ffc +ee110531 +e3100c01 +0afffffc +e20000ff +e1a0f00e +e1a0c00d +e92dd810 +e1a04000 +e5d00000 +e24cb004 +e3500000 +089da810 +ebffffef +e5f40001 +e3500000 +1afffffb +e89da810 +e1a0c00d +e92dd870 +e59f6028 +e24cb004 +e1a05000 +e3a04007 +e1a01104 +e1a00135 +e200300f +e7d30006 +ebffffe0 +e2544001 +5afffff8 +e89da870 +00001338 +e1a0c00d +e92dd810 +e1a03000 +e24cb004 +e1a02001 +e3530000 +e1a00003 +e2421001 +e2434001 +0a00000b +e3520000 +02433001 +02822001 +0afffff6 +ebfffff0 +e1a03004 +e1a02000 +e3530000 +e1a00003 +e2421001 +e2434001 +1afffff3 +e2820001 +e89da810 +e1a0c00d +e3a00003 +e92dd810 +e1a01000 +e24cb004 +ebffffe1 +e350003d +e1a04000 +0a000005 +ebffffce +e59f0018 +ebffffc0 +e1a00004 +e89d6810 +eaffffc9 +e59f0008 +e89d6810 +eaffffba +0000134c +00001358 +e1a0c00d +e92dd810 +e2504000 +e24cb004 +0280006a +089da810 +e3540001 +03a0008b +089da810 +e3540002 +03a00078 +089da810 +e3540003 +03a000b7 +089da810 +e3540004 +0a00002a +e3540005 +03a000c5 +089da810 +e3540006 +03a00093 +089da810 +e3540007 +03a000cf +089da810 +e3540008 +03a00023 +089da810 +e3540009 +03a0009b +089da810 +e354000a +03a0007a +089da810 +e354000b +03a000f4 +089da810 +e354000c +03a0007d +089da810 +e354000d +03a000d7 +089da810 +e354000e +0a00000d +e354000f +03a000db +089da810 +e3540010 +03a00002 +089da810 +e3540011 +03a000e0 +089da810 +e59f0014 +ebffff7f +e1a00004 +ebffff89 +eafffffe +e3a00045 +e89da810 +00001360 +e1a0c00d +e1a01fc0 +e92dd830 +e0805ea1 +e1a051c5 +e24cb004 +e1a04000 +e1a00005 +ebffffb7 +e0444185 +e2644007 +e1a00450 +e2000001 +e89da830 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebffffec +e3500000 +e2840001 +0a000002 +eb000074 +e280004c +e89da810 +e2840001 +eb000070 +e2800052 +e89da810 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebffffdd +e3500000 +e2840001 +1a000001 +e89d6810 +eaffffe6 +eb000063 +e2800046 +e89da810 +e1a0c00d +e92dd830 +e24cb004 +e1a04000 +e2805001 +ebffffcf +e3500000 +e2840001 +0a000002 +eb000057 +e2800020 +e89da830 +e1a00005 +ebffffc7 +e3500000 +e2850001 +0a000002 +eb00004f +e2800046 +e89da830 +e2850001 +ebffffcd +e89da830 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebffffb9 +e3500000 +0a000008 +e2844001 +e1a00004 +ebffffb4 +e3500000 +0a000025 +e2840001 +eb00003c +e2800020 +e89da810 +e2844001 +e1a00004 +ebffffab +e3500000 +0a00000e +e2844001 +e1a00004 +ebffffa6 +e3500000 +0a000023 +e2844001 +e1a00004 +ebffffa1 +e3500000 +159f00a8 +189da810 +e2840001 +eb000028 +e2800053 +e89da810 +e2844001 +e1a00004 +ebffff97 +e3500000 +0a000018 +e2844001 +e1a00004 +ebffff92 +e3500000 +0a000017 +e2840001 +eb00001a +e2800050 +e89da810 +e2844001 +e1a00004 +ebffff89 +e3500000 +0a000003 +e2840001 +eb000011 +e2800046 +e89da810 +e2840001 +ebffff8f +e89da810 +e2840001 +eb00000a +e2800048 +e89da810 +e2840001 +eb000006 +e2800049 +e89da810 +e2840001 +eb000002 +e2800058 +e89da810 +fffff787 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebffff6e +e3500000 +0a000012 +e2844001 +e1a00004 +ebffff69 +e3500000 +0a000033 +e2844001 +e1a00004 +ebffff64 +e3500000 +1a000042 +e2844001 +e1a00004 +ebffff5f +e3500000 +0a000057 +e2840001 +ebffffe7 +e2800046 +e89da810 +e2844001 +e1a00004 +ebffff56 +e3500000 +0a00000d +e2844001 +e1a00004 +ebffff51 +e3500000 +0a000041 +e2844001 +e1a00004 +ebffff4c +e3500000 +0a000053 +e2840001 +ebffffd4 +e280004d +e89da810 +e2844001 +e1a00004 +ebffff43 +e3500000 +0a000037 +e2844001 +e1a00004 +ebffff3e +e3500000 +0a00003d +e2844001 +e1a00004 +ebffff39 +e3500000 +0a000044 +e2840001 +ebffffc1 +e280004f +e89da810 +e2844001 +e1a00004 +ebffff30 +e3500000 +0a000012 +e2844001 +e1a00004 +ebffff2b +e3500000 +0a000026 +e2844001 +e1a00004 +ebffff26 +e3500000 +159f00e4 +189da810 +e2840001 +ebffffad +e2800053 +e89da810 +e2840001 +ebffffa9 +e2800020 +e89da810 +e2844001 +e1a00004 +ebffff18 +e3500000 +0a00001b +e2844001 +e1a00004 +ebffff13 +e3500000 +0a000022 +e2840001 +ebffff9b +e2800050 +e89da810 +e2840001 +ebffff97 +e2800041 +e89da810 +e2840001 +ebffff93 +e2800045 +e89da810 +e2840001 +ebffff11 +e89da810 +e2840001 +ebffff8c +e2800048 +e89da810 +e2840001 +ebffff88 +e280004e +e89da810 +e2840001 +ebffff84 +e2800049 +e89da810 +e2840001 +ebffff80 +e2800054 +e89da810 +e2840001 +ebffff7c +e2800055 +e89da810 +e2840001 +ebffff78 +e2800058 +e89da810 +fffff787 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebfffee4 +e3500000 +e59f3014 +e2840001 +1a000001 +ebffff6b +e2803053 +e1a00003 +e89da810 +fffff787 +e1a0c00d +e92dd830 +e24cb004 +e2805001 +e1a04000 +ebfffed5 +e3500000 +e1a00005 +0a000006 +ebfffed1 +e3500000 +e59f302c +e2850001 +0a000005 +e1a00003 +e89da830 +e2840001 +ebffff55 +e2800048 +e89da830 +ebffff52 +e2803053 +e1a00003 +e89da830 +fffff787 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebfffebd +e3500000 +e2840001 +0a000002 +ebffff45 +e2800050 +e89da810 +e2840001 +ebffff41 +e2800058 +e89da810 +e1a0c00d +e92dd830 +e24cb004 +e2804001 +e1a05000 +ebfffead +e3500000 +e1a00004 +0a000006 +ebfffea9 +e3500000 +e2840001 +0a000006 +ebffff31 +e2800050 +e89da830 +e2850001 +ebffff2d +e2800049 +e89da830 +e2840001 +ebffff29 +e2800058 +e89da830 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebfffe96 +e3500000 +0a00000e +e2844001 +e1a00004 +ebfffe91 +e3500000 +0a00001b +e2844001 +e1a00004 +ebfffe8c +e3500000 +159f0078 +189da810 +e2840001 +ebffff13 +e2800053 +e89da810 +e2844001 +e1a00004 +ebfffe82 +e3500000 +0a000008 +e2844001 +e1a00004 +ebfffe7d +e3500000 +0a00000b +e2840001 +ebffff05 +e2800050 +e89da810 +e2840001 +ebffff01 +e2800049 +e89da810 +e2840001 +ebfffefd +e2800048 +e89da810 +e2840001 +ebfffef9 +e2800058 +e89da810 +fffff787 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebfffe65 +e3500000 +e2840001 +0a000002 +ebfffeed +e280004d +e89da810 +e2840001 +ebfffee9 +e2800054 +e89da810 +e1a0c00d +e92dd830 +e24cb004 +e2804001 +e1a05000 +ebfffe55 +e3500000 +e1a00004 +0a000006 +ebfffe51 +e3500000 +e2840001 +0a000006 +ebfffed9 +e280004d +e89da830 +e2850001 +ebfffed5 +e2800041 +e89da830 +e2840001 +ebfffed1 +e2800054 +e89da830 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebfffe3e +e3500000 +e2840001 +0a000002 +ebfffec6 +e280004f +e89da810 +e2840001 +ebfffec2 +e2800055 +e89da810 +e1a0c00d +e92dd830 +e24cb004 +e2804001 +e1a05000 +ebfffe2e +e3500000 +e1a00004 +0a000006 +ebfffe2a +e3500000 +e2840001 +0a000006 +ebfffeb2 +e280004f +e89da830 +e2850001 +ebfffeae +e280004e +e89da830 +e2840001 +ebfffeaa +e2800055 +e89da830 +e1a0c00d +e92dd830 +e24cb004 +e2804001 +e1a05000 +ebfffe16 +e3500000 +e1a00004 +0a00000b +ebfffe12 +e2845001 +e3500000 +e1a00005 +0a00000a +ebfffe0d +e3500000 +e2850001 +0a00000a +ebfffe95 +e280004f +e89da830 +e2850001 +ebfffe91 +e2800045 +e89da830 +e2840001 +ebfffe8d +e280004e +e89da830 +e2850001 +ebfffe89 +e2800055 +e89da830 +e1a0c00d +e92dd810 +e24cb004 +e1a04000 +ebfffdf6 +e3500000 +0a00000d +e2844001 +e1a00004 +ebfffdf1 +e3500000 +0a00001f +e2844001 +e1a00004 +ebfffdec +e3500000 +0a00001e +e2840001 +ebfffe74 +e280004d +e89da810 +e2844001 +e1a00004 +ebfffde3 +e3500000 +0a00000d +e2844001 +e1a00004 +ebfffdde +e3500000 +0a000014 +e2844001 +e1a00004 +ebfffdd9 +e3500000 +0a000013 +e2840001 +ebfffe61 +e280004f +e89da810 +e2840001 +ebfffe5d +e2800045 +e89da810 +e2840001 +ebfffe59 +e2800041 +e89da810 +e2840001 +ebfffe55 +e2800054 +e89da810 +e2840001 +ebfffe51 +e280004e +e89da810 +e2840001 +ebfffe4d +e2800055 +e89da810 +e1a0c00d +e92dd800 +e3a00000 +e24cb004 +ebfffe46 +e3500097 +059f0010 +0a000001 +ebfffd3c +e59f0008 +e89d6800 +eafffd2d +00001358 +0000134c +e1a0c00d +e92dd830 +e2505000 +e0850fa5 +e1a000c0 +e24cb004 +03a00001 +089da830 +eb000004 +e1a04000 +e2450001 +ebfffff3 +e0840000 +e89da830 +e1a0c00d +e92dd830 +e2505000 +e24cb004 +01a00005 +089da830 +ebffffea +e1a04000 +e2450001 +ebffffe7 +e0840000 +e89da830 +e1a0c00d +e92dd810 +e3a00023 +e24cb004 +ebffffe0 +e1a04000 +e3a00020 +ebffffeb +e59f3020 +e0844000 +e1540003 +e59f0018 +0a000002 +e59f0014 +ebfffd02 +e89da810 +ebfffd00 +e89da810 +00003cd1 +00001358 +00001350 +e1a0c00d +e92dd800 +e59f000c +e24cb004 +ebfffcf7 +e3a00000 +e89da800 +00001384 +e59f0000 +e1a0f00e +00001428 +e0200001 +e3a01007 +e59f2014 +e2103001 +11a03002 +e2511001 +e02300c0 +5afffff9 +e1a0f00e +edb88320 +e1a0c00d +e3500000 +e92dd800 +05910000 +e24cb004 +089da800 +e3500001 +05910004 +089da800 +e3500002 +05910008 +089da800 +e3500003 +0591000c +089da800 +e3500004 +05910010 +089da800 +e3500005 +05910014 +089da800 +e3500006 +05910018 +089da800 +e59f0008 +ebfffccd +e3a00000 +e89da800 +00001384 +e3500000 +05821000 +0a000010 +e3500001 +05821004 +0a00000d +e3500002 +05821008 +0a00000a +e3500003 +0582100c +0a000007 +e3500004 +05821010 +0a000004 +e3500005 +05821014 +0a000001 +e3500006 +05821018 +e3a00000 +e1a0f00e +e1a0c00d +e3500000 +e92dd800 +e24cb004 +089da800 +e3500001 +05913010 +01a03123 +02233001 +0a000011 +e3500002 +05913010 +02030004 +089da800 +e3500003 +0a00000a +e3500004 +05913010 +02030002 +089da800 +e3500007 +02400006 +089da800 +e59f0014 +ebfffc9b +e3a00000 +e89da800 +e5913010 +e2030001 +e89da800 +00001384 +e1a0c00d +e92ddff0 +e24cb004 +e3a0a000 +e24dd01c +e59f9354 +e24b5044 +e50ba030 +e50ba044 +e50ba040 +e50ba03c +e50ba038 +e50ba034 +e50ba02c +e5951014 +e1a0000a +e7993101 +e5951000 +e1a024c3 +e1a0c243 +e1a04643 +e2026007 +e20c800f +e203700f +ebffff8a +e5951004 +ebffff88 +e5951008 +ebffff86 +e595100c +ebffff84 +e5951010 +ebffff82 +e5951018 +ebffff80 +e5951014 +ebffff7e +e3540000 +e1a0a000 +0a000018 +e3540001 +0a000029 +e3540002 +0a000037 +e3540003 +0a000049 +e3540004 +0a000055 +e3540005 +0a000069 +e3540006 +0a000082 +e3540007 +0a000093 +e354000f +1affffd5 +e59f328c +e1500003 +059f0288 +0a000001 +ebfffc64 +e59f0280 +ebfffc56 +e24bd028 +e89daff0 +e5957014 +e1a00006 +e2874001 +e1a01005 +e5854014 +ebffff99 +e3500000 +05953014 +02833001 +05853014 +0affffc1 +e595e014 +e1a00008 +e28e6001 +e799110e +e1a02005 +e5856014 +ebffff77 +eaffffb9 +e595c014 +e1a00006 +e28c3001 +e1a01005 +e5853014 +ebffff86 +e3500000 +0affffb1 +e1a01005 +e1a00007 +ebffff4e +e7991100 +e1a00008 +e1a02005 +ebffff67 +eaffffa9 +e5951014 +e1a00006 +e2812001 +e1a01005 +e5852014 +ebffff76 +e3500000 +0affffa1 +e1a01005 +e1a00007 +ebffff3e +e1a01005 +e1a04000 +e1a00008 +ebffff3a +e3500901 +1a000064 +e20400ff +ebfffc16 +eaffff95 +e595e014 +e1a00006 +e28e4001 +e1a01005 +e5854014 +ebffff62 +e3500000 +0affff8d +e1a01005 +e1a00007 +ebffff2a +e1a01000 +e1a00008 +eaffffda +e5953014 +e1a00006 +e1a01005 +e2836001 +e5856014 +ebffff54 +e3500000 +0affff7f +e1a00007 +e1a01005 +ebffff1c +e1a01005 +e1a04000 +e1a00008 +ebffff18 +e084c000 +e1a0080c +e1a07820 +e1a01007 +e1a00008 +e1a02005 +eaffffc5 +e5951014 +e1a00006 +e2812001 +e1a01005 +e5852014 +ebffff3e +e3500000 +0affff69 +e1a01005 +e1a00007 +ebffff06 +e1a01005 +e1a06000 +e1a00008 +ebffff02 +e060e006 +e35e0000 +e3a02000 +e1a08002 +02822004 +01a08002 +c3882001 +c1a08002 +b3888002 +b5858010 +a5852010 +eaffff56 +e595c014 +e1a00006 +e28c3001 +e1a01005 +e5853014 +ebffff23 +e3500000 +0affff4e +e1a00007 +e1a01005 +ebfffeeb +e1a01005 +e1a04000 +e1a00008 +ebfffee7 +e0047000 +e1a01007 +e1a00008 +eaffff96 +e5951014 +e1a00006 +e2812001 +e1a01005 +e5852014 +ebffff10 +e3500000 +0affff3b +e1a01005 +e1a00008 +ebfffed8 +e1e0e000 +e1a0080e +e1a01820 +e1a00008 +eaffff86 +e59f0010 +eaffff61 +00001428 +ee861173 +00001358 +00001394 +00001384 +e1a0c00d +e92dd810 +e2504000 +e24cb004 +e2440001 +e3a03001 +1a000001 +e1a00003 +e89da810 +ebfffff5 +e0030094 +e1a00003 +e89da810 +e1a0c00d +e92dd800 +e3a00009 +e24cb004 +ebffffed +e3a0100a +e0020190 +e59f3010 +e59f0010 +e1520003 +059f000c +e89d6800 +eafffb9a +00375f00 +00001350 +00001358 +e1a0300e +eb000009 +e1a00000 +e1a00000 +e1a00000 +e1a00000 +e1a00000 +e1a00000 +e1a00000 +e1a00000 +e1a00000 +e1a0f003 +e3a02c2f +e38220e0 +e3a0104c +e1a0c00d +e92dd800 +e3a00722 +e89da800 +e0000291 +e1a00000 +e1a00000 +e1a0f00e +e1a0c00d +e92dd810 +e24cb004 +ebffffe4 +e1a04000 +e3540722 +e59f001c +059f001c +0a000003 +ebfffb76 +e1a00004 +ebfffb80 +e59f000c +e89d6810 +eafffb71 +0000139c +00001358 +00001354 +e1a0c00d +e92dd810 +e59f406c +e24cb004 +e59f0068 +ebfffb68 +e5943000 +e3530000 +0a000009 +e59f0058 +ebfffb63 +e5940000 +ebfffb61 +e59f004c +ebfffb5f +e1a0e00f +e594f004 +e5b43008 +eafffff3 +e59f0038 +ebfffb59 +ee113531 +e3130c01 +e20300ff +0afffffb +ebfffb4c +ee113531 +e3130c01 +e20300ff +0afffff6 +eafffff9 +000013f0 +000013b0 +000013c4 +000013d0 +000013d4 +206d646c +6d2f6370 +00006c75 +74636166 +00000000 +6263346a +0000006f +006b6361 +696e696d +72616c62 +00000067 +65726f63 +73727563 +00000065 +33323130 +37363534 +42413938 +46454443 +00000000 +203e2d20 +4c494146 +0000000a +53534150 +0000000a +4c494146 +62615b20 +5d74726f +6572203a +73657571 +6f662074 +79622072 +23206574 +00000000 +4c494146 +62615b20 +5d74726f +0000000a +4146203a +000a4c49 +4c494146 +6572203a +746c7573 +73617720 +00000020 +74736554 +636e6562 +75722068 +6e696e6e +00000a67 +6e6e7552 +20676e69 +00000000 +0000203a +656e6f44 +63452021 +6e696f68 +68632067 +63617261 +73726574 +00000a2e +00001300 +00001228 +0000130c +0000118c +00001314 +00000b44 +0000131c +000000f8 +00001320 +00000dd4 +0000132c +00000be4 +00000000 +00000000 +00000e30 +00000009 +00000e00 +00000039 +00000e10 +00004000 +00000e20 +0000ffff +00002e10 +00004e32 +00004e02 +00005e32 +00000250 +00000008 +00000e00 +0000000a +00002e10 +0000ffff +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 -- 2.39.2