From: Joshua Wise Date: Sat, 28 Aug 2010 22:29:06 +0000 (-0400) Subject: Add chip enable correctness for CellularRAM. X-Git-Url: http://git.joshuawise.com/firearm.git/commitdiff_plain/refs/heads/msater?hp=1e7ff543e49341fedea742d7b8b674111d852748 Add chip enable correctness for CellularRAM. --- diff --git a/CellularRAM.v b/CellularRAM.v index 81622cd..f7217de 100644 --- a/CellularRAM.v +++ b/CellularRAM.v @@ -40,7 +40,7 @@ module CellularRAM( assign st_nCE = 0; assign cr_nADV = ~decode; - assign cr_nCE = 0; + assign cr_nCE = ~active; assign cr_nOE = ~bus_rd; assign cr_nWE = ~bus_wr; assign cr_CRE = 0;