From: Christopher Lu Date: Mon, 5 Jan 2009 09:21:43 +0000 (-0500) Subject: Merge branch 'master' of nyus.joshuawise.com:/git/firearm X-Git-Url: http://git.joshuawise.com/firearm.git/commitdiff_plain/f942975c0a890e840bdb9af195229bbdc9a458a5?hp=-c Merge branch 'master' of nyus.joshuawise.com:/git/firearm --- f942975c0a890e840bdb9af195229bbdc9a458a5 diff --combined system.v index 4a485c3,8ab3e23..f80f327 --- a/system.v +++ b/system.v @@@ -54,10 -54,6 +54,10 @@@ module System(input clk) wire [31:0] pc_out_fetch; wire [31:0] pc_out_issue; + wire execute_outflush = jmp; + wire issue_flush = execute_outflush; + wire execute_flush = 1'b0; + BusArbiter busarbiter(.bus_req(bus_req), .bus_ack(bus_ack)); ICache icache( @@@ -88,7 -84,7 +88,7 @@@ Issue issue( .clk(clk), .Nrst(1'b1 /* XXX */), - .stall(stall_cause_execute), .flush(1'b0 /* XXX */), + .stall(stall_cause_execute), .flush(issue_flush), .inbubble(bubble_out_fetch), .insn(insn_out_fetch), .inpc(pc_out_fetch), .cpsr(32'b0 /* XXX */), .outstall(stall_cause_issue), .outbubble(bubble_out_issue), @@@ -110,7 -106,7 +110,7 @@@ Execute execute( .clk(clk), .Nrst(1'b0), - .stall(1'b0 /* XXX */), .flush(1'b0 /* XXX */), + .stall(1'b0 /* XXX */), .flush(execute_flush), .inbubble(bubble_out_issue), .pc(pc_out_issue), .insn(insn_out_issue), .cpsr(32'b0 /* XXX */), .spsr(decode_out_spsr), .op0(decode_out_op0), .op1(decode_out_op1), .op2(decode_out_op2), .carry(decode_out_carry), @@@ -128,6 -124,6 +128,6 @@@ $display("%3d: FETCH: Bubble: %d, Instruction: %08x, PC: %08x", clockno, bubble_out_fetch, insn_out_fetch, pc_out_fetch); $display("%3d: ISSUE: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x", clockno, stall_cause_issue, bubble_out_issue, insn_out_issue, pc_out_issue); $display("%3d: DECODE: op1 %08x, op2 %08x, op3 %08x, carry %d", clockno, decode_out_op0, decode_out_op1, decode_out_op2, decode_out_carry); - $display("%3d: EXEC: Stall: %d, Bubble: %d, Output: %d, [%08x -> %d]", clockno, stall_cause_execute, execute_out_bubble, execute_out_write_reg, execute_out_write_data, execute_out_write_num); + $display("%3d: EXEC: Stall: %d, Bubble: %d, Reg: %d, [%08x -> %d], Jmp: %d [%08x]", clockno, stall_cause_execute, execute_out_bubble, execute_out_write_reg, execute_out_write_data, execute_out_write_num, jmp, jmppc); end endmodule