From: Joshua Wise Date: Wed, 14 Jan 2009 07:13:25 +0000 (-0500) Subject: Issue: Add logic to defer a flush if need be (i.e., we're stalled at the time and... X-Git-Url: http://git.joshuawise.com/firearm.git/commitdiff_plain/c4e2ac3b3f80b9d92660c87009714362cdb7f875?hp=73e0b1b169d00c94c7538eb612780b09aa8f3b9c Issue: Add logic to defer a flush if need be (i.e., we're stalled at the time and hence not allowed to change any other state). --- diff --git a/Issue.v b/Issue.v index 7b281a3..7815a08 100644 --- a/Issue.v +++ b/Issue.v @@ -282,8 +282,15 @@ module Issue( waiting_cpsr = use_cpsr & (cpsr_inflight[0] | cpsr_inflight[1]); waiting_regs = |(use_regs & (regs_inflight[0] | regs_inflight[1])); - outstall = ((waiting && !inbubble) || stall) && !flush; /* Happens in an always @*, because it is an exception. */ + outstall = (waiting && !inbubble && !flush) || stall; /* Happens in an always @*, because it is an exception. */ end + + reg delayedflush = 0; + always @(posedge clk) + if (flush && outstall /* halp! I can't do it now, maybe later? */) + delayedflush <= 1; + else if (!outstall /* anything has been handled this time around */) + delayedflush <= 0; /* Actually do the issue. */ always @(posedge clk) @@ -291,7 +298,7 @@ module Issue( if (waiting) $display("ISSUE: Stalling instruction %08x because %d/%d", insn, waiting_cpsr, waiting_regs); - if(flush) + if((flush || delayedflush) && !outstall) begin cpsr_inflight[0] = 1'b0; cpsr_inflight[1] = 1'b0;