]> Joshua Wise's Git repositories - firearm.git/commitdiff
Makefile: Add 'auto' target to verilog-modeify.
authorJoshua Wise <joshua@escape.joshuawise.com>
Mon, 22 Feb 2010 03:26:54 +0000 (22:26 -0500)
committerJoshua Wise <joshua@escape.joshuawise.com>
Mon, 22 Feb 2010 03:26:54 +0000 (22:26 -0500)
Makefile

index daa9291635be5d55ee231d94b80b3c3bfc82540a..766e6ea09d4008c530fe1bd5ced7f49bcb36ecf4 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -9,4 +9,7 @@ obj_dir/Vsystem.mk: $(VLOGS)
        mkdir -p obj_dir
        verilator --cc system.v testbench.cpp --exe
 
        mkdir -p obj_dir
        verilator --cc system.v testbench.cpp --exe
 
+auto: .DUMMY
+       emacs -l ~/elisp/verilog-mode.el --batch system.v -f verilog-batch-auto
+
 .DUMMY:
\ No newline at end of file
 .DUMMY:
\ No newline at end of file
This page took 0.025112 seconds and 4 git commands to generate.